ISSN 1210-2512 (Print)

ISSN 1805-9600 (Online)

Radioengineering

Radioeng

Proceedings of Czech and Slovak Technical Universities

About the Journal
Feature Articles
Editorial Board
Publishing Department
Society [CZ]

Log out
Your Profile
Administration

September 2018, Volume 27, Number 3 [DOI: 10.13164/re.2018-3]

Show all Hide all

R. Noumi, J. Machac, A. Gharsallah [references] [full-text] [DOI: 10.13164/re.2018.0633] [Download Citations]
Space Leaky Waves Propagating along a Pair of CRLH SIW Lines

A space leaky wave excited on composite right-left handed substrate integrated waveguide radiates in a wide frequency band is presented in this paper. The proposed two line array is a good candidate for smart systems of monitoring and tracking applications. The system is composed of two different lines that are placed in parallel on the same substrate. Simulation results show that the first line leaks energy in the band from 6.9 to 11 GHz with a 7.8 GHz broadside radiation frequency and the second line leaks in the band from 8.9 to 13.8 GHz; the related broadside radiation frequency is 10.2 GHz. Complex single/dual scanned beams are obtained in a wide range of angles from -72° to +73°. The simulations were carried out in the CST Microwave Studio and the obtained measurement results match well with the theoretical analysis described in this paper.

  1. LIU, J., JACKSON, D., LONG, Y. Substrate integrated waveguide (SIW) leaky-wave antenna with transverse slots. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 1, p. 20–29. DOI: 10.1109/TAP.2011.2167910
  2. CASSIVI, Y. PERREGRINI, L., ARCIONI, P., et al. Dispersion characteristics of substrate integrated rectangular waveguide. IEEE Microwave and Wireless Components Letters, 2002, vol. 12, no. 9, p. 333–335. DOI: 10.1109/LMWC.2002.803188
  3. XU, F., WU, K. Numerical multimode calibration technique for extraction of complex propagation constants of substrate integrated waveguide. In IEEE MTT-S International Microwave Symposium Digest, 2004, vol. 2, p. 1229–1232. DOI: 10.1109/MWSYM.2004.1339210
  4. RABAANI, K., BOULEJFEN, N. Characteristic impedance and propagation constant assessment of substrate integrated waveguide transmission line. In 2016 11th International Design & Test Symposium (IDT). Hammamet (Tunisia), 2016. DOI: 10.1109/IDT.2016.7843054
  5. LI, Y., XUE, Q., YUNG, E. K.-N., et al. Dual-beam steering microstrip leaky wave antenna with fixed operating frequency. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 1, p. 248–252. DOI: 10.1109/TAP.2007.913168
  6. KARMAKAR, D. K., ESSELLE, K. P., BIRD, T. S. Wideband microstrip leaky-wave antennas with two symmetrical side beams for simultaneous dual-beam scanning. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 4, p. 1262–1269. DOI: 10.1109/TAP.2016.2529646
  7. WANG, C. J., JOU, C. F., WU, J. J. A novel two-beam scanning active leaky-wave antenna. IEEE Transactions on Antennas and Propagation, 1999, vol. 47, no. 8, p. 1314–1317. DOI: 10.1109/8.791949
  8. MA, Z. L., JIANG, L. J. One-dimensional triple periodic dualbeam microstrip leaky-wave antenna. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 390–393. DOI: 10.1109/LAWP.2014.2365394
  9. MACHAC, J., POLIVKA, M., ZEMLYAKOV, K. A dual band leaky wave antenna on a CRLH substrate integrated waveguide. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 7, p. 3876–3879. DOI: 10.1109/TAP.2013.2256097
  10. DONG, Y., ITOH, T. Substrate integrated composite right/lefthanded leaky-wave structure for polarization flexible antenna application. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 2, p. 760–771. DOI: 10.1109/TAP.2011.2173124
  11. JACKSON, D. R., OLINER, A. A., BALANIS, C. (eds.) Modern Antenna Handbook. Hoboken, NJ, USA: Wiley, 2008. ISBN-13: 978-0470036341
  12. MACHAC, J., LORENZ, P., SAGLAM, M., et al. Substrate integrated waveguide leaky wave antenna radiating from a slot in the broad wall. In 2010 IEEE MTT-S International Microwave Symposium. Anaheim (CA, USA), May 2010, p. 5–8. DOI: 10.1109/MWSYM.2010.5517997
  13. POZAR, D. M. Microwave Engineering. 3rd ed. Hoboken, NJ: Wiley, 2005. Ch. 8: Microwave filters. ISBN: 9780471644514
  14. DONG, Y., ITOH, T. Composite right/left-handed substrate integrated waveguide and half-mode substrate integrated waveguide. In 2009 IEEE MTT-S International Microwave Symposium Digest. Boston (USA), 2009, p. 49–52. DOI: 10.1109/MWSYM.2009.5165629
  15. WU, K., DESLANDES, D., CASSIVI, Y. The substrate integrated circuits – a new concept for high frequency electronics and optoelectronics. In 6th International Conference on Telecommunications in Modern Satellite, Cable and Broadcasting Service TELSKS 2003. Nis (Yugoslavia), 2003, vol. 1, p. 1–8. DOI: 10.1109/TELSKS.2003.1246173
  16. DONG, Y., ITOH, T. Composite right/left-handed substrate integrated waveguide and half mode substrate integrated waveguide leaky-wave structures. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 3, p. 767–775. DOI: 10.1109/TAP.2010.2103025
  17. DESLANDES, D. Design equations for tapered microstrip to substrate integrated waveguide transitions. In 2010 IEEE MTT-S International Microwave Symposium. Anaheim (CA, USA), May 2010, p. 704–707. DOI: 10.1109/MWSYM.2010.5517884
  18. www.rfspin.cz

Keywords: Space leaky wave, substrate integrated waveguide, composite right-left handed line

T. Korinek, M. Valek, J. Spacil, M. Mazanek [references] [full-text] [DOI: 10.13164/re.2018.0640] [Download Citations]
Pre-Assessment of Radiated Fields from Small Electronic Submodules

A novel approach to the evaluation of electromagnetic fields radiated from electronic devices by using a small shielded box is presented. Several EMC problems can be identified by employing the computations of a Radiated Model device, such as the model of the Submodule-on-Motherboard structure described here. The combination of a numerical approach, through a validation model and measurements, must be done during the design phase in multiple iterative loops to minimize the risk of non-compliance of the final qualification process. This novel combined approach requires little investment and is highly efficient for industrial applications.

  1. CISPR 22: 2003-04. Information Technology, Equipment – Radio Disturbance Characteristics – Limits and Methods of Measurement.
  2. LI, K., TASSOUDIJ, A., POH, S. Y., et al. FD-TD analysis of electromagnetic radiation from modules-on-backplane configurations. IEEE Transactions on Electromagnetic Compatibility, 1995, vol. 37, no. 3, p. 326–332. DOI: 10.1109/15.406522
  3. VALEK, M., LEONE, M. Estimation of radiated fields of small horizontal submodules based on a lumped-element model. Radioengineering, 2006, vol. 15, no. 4, p. 9–15.
  4. PAUL, C. R. Introduction to Electromagnetic Compatibility. New York: John Wiley & Sons, 1992. ISBN: 978-0-471-75500-5
  5. VALEK, M., LEONE, M., SCHMIEDL, F. Analysis of the radiation behaviour of motherboard-subboard structures. In Proceedings of the 6th International Symposium on Electromagnetic Compatibility and Electromagnetic Ecology. St. Petersburg (Russia), 2005, p. 175–178. DOI: 10.1109/EMCECO.2005.1513094
  6. LEONE, M., NAVRATIL, V. On the electromagnetic radiation of printed-circuit-board interconnections. IEEE Transactions on Electromagnetic Compatibility, 2005, vol. 47, no. 2, p. 219–226. DOI: 10.1109/TEMC.2005.847400
  7. LANGE, K., LOCHERER, K. H. Taschenbuch der Hochfrequenztechnik. Springer-Verlag, 1986. ISBN: 978-3540547143
  8. BALANIS, C. A. Antenna Theory. New York: John Wiley & Sons, 1997. ISBN: 978-0471667827
  9. RAPPAPORT, T. S. Wireless Communications - Principles and Practice, 2nd. ed., Prantice Hall, 2002. ISBN: 978-0130422323
  10. PIKSA, P., MAZANEK, M. A self-complementary 1.2 to 40 GHz spiral antenna with impedance matching. Radioengineering, 2006, vol. 15, no. 3, p. 15–19.
  11. KORINEK, T., PIKSA, P., MAZANEK, M. Wideband measurement in a small shielded box using equiangular spiral antennas. Radioengineering, 2006, vol. 15, no. 4, p. 34–37.
  12. VALEK, M., LEONE, M. Radiated emission measurement of printed circuit boards in the GTEM cell and GTEM-to-OATS correlation factor. In Proceedings of the 13th Conference on Microwave Techniques (COMITE). Prague (Czech Republic), 2005, p. 155–158.
  13. CSN EN 55016-4-2. Specification for Radio Disturbance and Immunity Measuring Apparatus and Methods. Uncertainties, Statistics and Limit Modelling. Measurement Instrumentation Uncertainty

Keywords: Electromagnetic compatibility (EMC), electromagnetic interference (EMI), radiated model, measurement, radiated emissions

N. Anveshkumar, A. S. Gandhi [references] [full-text] [DOI: 10.13164/re.2018.0646] [Download Citations]
Lumped Equivalent Models of Narrowband Antennas and Isolation Enhancement in a Three Antennas System

This paper initially discusses lumped equivalent models of narrowband (NB) antennas and then presents a technique to enhance isolation between UWB and NB antennas of the three antennas system. The proposed models are able to yield similar S-parameter performance as that of NB antennas at their resonant frequencies. Also, a good match is observed at other frequencies between the HFSS and AWR results. This paper reports an isolation enhancement technique using microstrip lines. The proposed approach enhances a minimum isolation of 11dB at respective resonant frequencies. This paper also reports lumped equivalent models of dual band reject filters (DBRFs). Proposed geometry is fabricated and tested. Experimental result shows an agreement between the simulated and measured results.

  1. EBRAHIMI, E., KELLY, J. R., HALL, P. S. Integrated widenarrowband antenna for multi-standard radio. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 7, p. 2628–2635. DOI: 10.1109/TAP.2011.2152353
  2. TAWK, Y., CHRISTODOULOU, C. G. A new reconfigurable antenna design for cognitive radio. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 1378–1381. DOI: 10.1109/LAWP.2009.2039461
  3. SHARMA, S., TRIPATHI, C. C. An integrated frequency reconfigurable antenna for cognitive radio application. Radioengineering, 2017, vol. 26, no. 3, p. 746–754. DOI: 10.13164/re.2017.0746.
  4. TAWK, Y., COSTANTINE, J., HEMMADY, S., et al. Demonstration of a cognitive radio frontend using an optically pumped reconfigurable antenna system (OPRAS). IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 2, p. 1075–1083. DOI: 10.1109/TAP.2011.2173139
  5. ERFANI, E., NOURINIA, J., GHOBADI, C., et al. Design and implementation of an integrated UWB/reconfigurable-slot antenna for cognitive radio applications. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 77–80. DOI: 10.1109/LAWP.2011.2182631
  6. NELLA, A., GANDHI, A. S. A survey on planar antenna designs for cognitive radio applications. Wireless Personal Communications, 2018, vol. 98, no. 1, p. 541–569. DOI: 10.1007/s11277-017-4883-y
  7. RASLAN, A. R. Metamaterial Antennas for Cognitive Radio Applications. A Thesis Submitted to the Electronics Engineering Department, American University in Cairo School of Sciences and Engineering in 2013.
  8. CHRISTODOULOU, C. G., TAWK, Y., LANE, S. A., et al. Reconfigurable antennas for wireless and space applications. In Proceedings of the IEEE, 2012, vol. 100, no. 7, p. 2250–2261. DOI: 10.1109/JPROC.2012.2188249
  9. KUMAR, N. A., GANDHI, A. S. A compact novel three-port integrated wide and narrow band antennas system for cognitive radio applications. International Journal of Antennas and Propagation, 2016, article ID 2829357, p. 1–14. DOI: 10.1155/2016/2829357
  10. POZAR, D. M. Microwave Engineering. 2nd ed. New York (USA): Wiley & Sons, Inc, 1998.
  11. NUMAN, A. B., SHARAWI, M. S., STEFFES, A., ALOI, D. N. A defected ground structure for isolation enhancement in a printed MIMO antenna system. In Proceedings of the 7th European Conference on Antennas and Propagation (EuCAP). Gothenburg (Sweden), 2013, p. 2123–2126.
  12. ZHU, J., FENG, B., DENG, L., LI, S. Ultrawideband MIMO/diversity antenna using CSRR structure for isolation enhancement. In Proceedings of the IEEE 4th Asia-Pacific Conference on Antennas and Propagation (APCAP). Bali Island (Indonesia), 2015, p. 27–29. DOI: 10.1109/APCAP.2015.7374258
  13. ALSATH, M. G. N., KANAGASABAI, M., BALASUBRAMANIAN, B. Implementation of slotted meander-line resonators for isolation enhancement in microstrip patch antenna arrays. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 15–18. DOI: 10.1109/LAWP.2012.2237156
  14. HABASHI, A., NOURINIA, J., GHOBADI, C. A rectangular defected ground structure for reduction of mutual coupling between closely spaced microstrip antennas. In Proceedings of the IEEE 20th Iranian Conference on Electrical Engineering (ICEE). Tehran (Iran), 2012, p. 1347–1350. DOI: 10.1109/IranianCEE.2012.6292566
  15. BAIT-SUWAILAM, M. M., SIDDIQUI, O. F., RAMAHI, O. M. Mutual coupling reduction between microstrip patch antennas using slotted-complementary split-ring resonators. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 876–878. DOI: 10.1109/LAWP.2010.2074175
  16. YANG, X. M., LIU, X. G., ZHOU, X. Y., et al. Reduction of mutual coupling between closely packed patch antennas using wave guided metamaterials. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 389–391. DOI: 10.1109/LAWP.2012.2193111

Keywords: Band reject filter, dual bands, isolation, lumped equivalent model, microstrip lines.

P. D. Peshwe , A. G. Kothari [references] [full-text] [DOI: 10.13164/re.2018.0654] [Download Citations]
Performance Enhancement of Millimeter Wave Antenna with Integrated Inter-digital Capacitor Structure

In this paper, we present an approach for performance enhancement of an antenna for millimeter wave (mmWave) applications. From mmWave band, a 30 GHz frequency is selected for designing a patch antenna as it is suitable for the number of applications. Then the designed antenna is modified by inserting a capacitive structure i.e., an inter-digital capacitor (IDC) for better impedance matching. Insertion of IDC structure results in antenna size reduction along with the increased directivity and enhancement in gain. Similarly, this technique is capable of providing extra resonance with an advantage of fine tuning, as it offers control over both resonating frequencies independently. Further, a linear antenna array is designed with the same approach for mutual coupling analysis. From the results, it has been found that this technique is also capable of providing mutual coupling mitigation. Thus insertion of IDC structure provides overall performance enhancement in a mmWave antenna.

  1. WANG, H., FANG, D. G., LIU, Y. K., et al. A millimeter wave cylindrical conformal array with conical beam. In Asia Pacific Microwave Conference (APMC). Singapore, 2009, p. 2010–2013. DOI: 10.1109/APMC.2009.5385301
  2. PELLEGRINI, A., BRIZZI, A., ZHANG, L., et al. Antennas and propagation for body-centric wireless communications at millimeter-wave frequencies: A review [Wireless corner]. IEEE Antennas and Propagation Magazine, 2013, vol. 55, no. 4, p. 262–287. DOI: 10.1109/MAP.2013.6645205
  3. KEMP, M. C. A review of millimetre-wave and terahertz technology for detection of concealed threats. In 33rd International Conference on Infrared, Millimeter and Terahertz Waves (IRMMW-THz 2008). Pasadena (CA, USA), 2008, p. 1–2. DOI: 10.1109/ICIMW.2008.4665630
  4. CAO, Z., MA, Q., SMOLDERS, A. B., et al. Advanced integration techniques on broadband millimeter-wave beam steering for 5G wireless networks and beyond. IEEE Journal of Quantum Electronics, 2016, vol. 52, no. 1, p. 1–20. DOI: 10.1109/JQE.2015.2509256
  5. ATTIA, H., YOUSEFI, L., BAIT-SUWAILAM, M. M., et al. Enhanced-gain microstrip antenna using engineered magnetic superstrates. IEEE Antennas and Wireless Propagation Letters. 2009; vol. 8, p. 1198–1201. DOI: 10.1109/LAWP.2009.2035149
  6. YANG, F., RAHMAT-SAMII, Y. Microstrip antennas integrated with electromagnetic band-gap (EBG) structures: A low mutual coupling design for array applications. IEEE Transactions on Antennas and Propagation, 2003, vol. 51, no. 10, p. 2936–2946. DOI: 10.1109/TAP.2003.817983
  7. SO, KWOK KAN, WONG, H., LUK, K. M., et al. Miniaturized circularly polarized patch antenna with low back radiation for GPS satellite communications. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5934–5938. DOI: 10.1109/TAP.2015.2488000
  8. ZHU, F. G., XU, J. D., XU, Q. Reduction of mutual coupling between closely-packed antenna elements using defected ground structure. Electronics Letters, 2009, vol. 45, no. 12, p. 601–602. DOI: 10.1049/el.2009.0985
  9. PEI, J., WANG, A. G., GAO, S., et al. Miniaturized triple-band antenna with a defected ground plane for WLAN/WiMAX applications. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 298–301. DOI: 10.1109/LAWP.2011.2140090
  10. ABDALLA, M. A., IBRAHIM, A. A. Compact and closely spaced metamaterial MIMO antenna with high isolation for wireless applications. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 1452–1455. DOI: 10.1109/LAWP.2013.2288338
  11. AMANI, N., KAMYAB, M., JAFARGHOLI, A., et al. Compact tri-band metamaterial-inspired antenna based on CRLH resonant structures. Electronics Letters, 2014, vol. 50, no. 12, p. 847–848. DOI: 10.1049/el.2014.0875
  12. SRIVATSUN, G., SUBHA RANI, S. Compact multiband planar fractal cantor antenna for wireless applications: an approach. International Journal of Antennas and Propagation, 2012, p. 1–6. DOI: 10.1155/2012/839520
  13. GHASR, M. T, KHARKOVSKY, S., BOHNERT, R., et al. 30 GHz linear high-resolution and rapid millimeter wave imaging system for NDE. IEEE Transactions on Antennas and Propagation, 2013 vol. 61, no. 9, p. 4733–4740. DOI: 10.1109/TAP.2013.2270174
  14. BALANIS, C. Antenna Theory Analysis and Design. John Wiley & Sons, 2005. ISBN-13: 978-0471667827
  15. LIU, J. X., YIN, W. Y. A compact interdigital capacitor-inserted multiband antenna for wireless communication applications. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 922–925. DOI: 10.1109/LAWP.2010.2073435
  16. SAM, S., KANG, H., LIM, S. Frequency reconfigurable and miniaturized substrate integrated waveguide interdigital capacitor (SIW-IDC) antenna. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 3, p. 1039–1045. DOI: 10.1109/TAP.2013.2281662
  17. BAHL, I. Lumped Elements for RF and Microwave Circuits. Artech House, 2003, p. 229–250. ISBN 1-58053-309-4
  18. NECIBI, O., HAMZAOUI, D., VUONG, T. P., et al. A novel RFID‐HIS‐PRS reader antenna for the millimeter wave band 30 GHz. Microwave and Optical Technology Letters, 2015, vol. 57, no. 8, p. 1835–1842. DOI: 10.1002/mop.29201

Keywords: Millimetre wave antenna, dual-band characteristics, performance enhancement, capacitive loading

Z. Ahmed, M. M. Ahmed, M. B. Ihsan [references] [full-text] [DOI: 10.13164/re.2018.0662] [Download Citations]
A Novel Differential Fed High Gain Patch Antenna Using Resonant Slot Loading

Inspired by slotted waveguide antennas, a pair of resonant slots is placed in the center out of phase region of TM30 mode rectangular patch antenna which acts as a new radiating edge. Superposition of radiated fields of TM30 mode patch and fundamental mode of slots results in high directivity radiator with reduced side lobe levels (SLL). It is shown that by making the resonant frequencies of patch and slots equal, good impedance matching and gain flatness can be achieved. The placement of resonant slots also has a slight adverse affect on the in phase current distribution resulting in asymmetric radiation pattern. It is demonstrated that a differential feeding scheme can be employed to achieve gain enhancement and symmetric radiation pattern by keeping the desired in phase current distribution intact. The proposed differential fed slot loaded patch antenna has symmetric radiation pattern with reduced cross polarization levels. It shows a measured gain of 12.8dBi, SLL of -12dB and S11≤ -10 dB impedance bandwidth of 21 MHz. The proposed antenna can be used as a substitute for 2x2 array of patch antenna operating in fundamental mode and is suitable for integration with differential circuits.

  1. NISHIYAMA, E., AIKAWA, M., EGASHIRA, S. Stacked microstrip antenna for wideband and high gain. IEE ProceedingsMicrowaves, Antennas and Propagation, 2004, vol. 151, no. 2, p. 143–148. DOI: 10.1049/ip-map: 20040171
  2. JUYAL, P., SHAFAI, L. A high gain single feed dual mode microstrip disc radiator. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 6, p. 2115–2126. DOI: 10.1109/TAP.2016.2543804
  3. WANG, D., NG, K. B., CHAN, C. H., WONG, H. A novel wideband differentially-fed higher-order mode millimeter-wave patch antenna. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 2, p. 466–473. DOI: 10.1109/TAP.2014.2378263
  4. JUYAL, P., SHAFAI, L. A novel high-gain printed antenna configuration based on TM12 mode of circular disc. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 2, p. 790–796. DOI: 10.1109/TAP.2015.2506724
  5. KHAN, Q. U, IHSAN, M. B., FAZAL, D., et al. Higher order modes: a solution for high gain, wide band patch antennas for different vehicular applications, IEEE Transactions on Vehicular Technology, 2017, vol. 66, no. 5, p. 3548–3554. DOI: 10.1109/TVT.2016.2604004
  6. JUYAL, P., SHAFAI, L. Sidelobe reduction of TM12 mode of circular patch via nonresonant narrow slot. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 8, p. 3361–3369. DOI: 10.1109/TAP.2016.2576503
  7. LEE, K. F., LUK, K. M., TONG, K. F., et al. Experimental and simulation studies of the coaxially fed U-slot rectangular patch antenna. IEE Proceedings - Microwave, Antennas and Propagation, 1997, vol. 144, no. 5, p. 354–358. DOI: 10.1049/ipmap:19971334
  8. YANG, F., ZHANG, X. Z., SAMII, Y. R. Wideband E-shaped patch antennas for wireless communications. IEEE Transactions on Antennas and Propagation, 2001, vol. 49, no. 7, p. 1094–1100. DOI: 10.1109/8.933489
  9. KOSSIAVAS, G., PAPIERNIK, A., BOISSET, J. P., et al. The Cpatch: a small microstrip element. Electronics Letters, 1989, vol. 25, no. 4, p. 253–254. DOI: 10.1049/el:19890177
  10. BHARDWAJ, S., SAMII, Y. R. A comparative study of C-shaped, E-shaped, and U-slotted patch antennas. Microwave and Optical Technology Letters, 2012, vol. 54, no. 7, p. 1746–1757. DOI: 10.1002/mop.26894
  11. MACI, S., GENTILI, G. B., AVITABILE, G. Single layer dual frequency patch antenna. Electronics Letters, 1993, vol. 29, no. 16, p. 1441–1443. DOI: 10.1049/el:19930965
  12. WATERHOUSE, R. B. Small printed antennas with low crosspolarized fields. Electronics Letters, 1997, vol. 33, no. 15, p. 1280–1281. DOI: 10.1049/el:19970873
  13. BORJA, C., FONT, G., BLANCH, S., et al. High directivity fractal boundary microstrip patch antenna. Electronics Letters, 2000, vol. 36, no. 9, p. 778–779. DOI: 10.1049/el:20000625
  14. ELLIOTT, R. S. Antenna Theory and Design. Hoboken, NJ: John Wiley-IEEE Press, 2003. ISBN: 978-0-471-44996-6
  15. HAMMER, P., VAN BOUCHAUTE, D., VERSCHRAEVEN, D., et al. A model for calculating the radiation field of microstrip antennas. IEEE Transactions on Antennas and Propagation, 1979, vol. 27, no. 2, p. 267–270. DOI: 10.1109/TAP.1979.1142054
  16. STUTZMAN, W. L., THIELE, G. A. Antenna Theory and Design. 3rd ed. John Wiley & Sons, 2012. ISBN: 978-0-470-57664-9
  17. COLLIN, R. E., ZUCKER, F. J. Antenna Theory. New York: McGraw Hill, 1969. ISBN: 978-0070117990
  18. LEE, R. Q., LEE, K. F. Experimental study of the two-layer electromagnetically coupled rectangular patch antenna. IEEE Transactions on Antennas and Propagation, 1990, vol. 38, no. 8, p. 1298–1302. DOI: 10.1109/8.56971
  19. EGASHIRA, S., NISHIYAMA, E. Stacked microstrip antenna with wideband and high-gain. IEEE Transactions on Antennas and Propagation, 1996, vol. 44, no. 11, p. 1533–1534. DOI: 10.1109/8.542079
  20. JACKSON, D., ALEXOPOULOS, N. Gain enhancement methods for printed circuit antennas. IEEE Transactions on Antennas and Propagation, 1985, vol. 33, no. 9, p. 976–987. DOI: 10.1109/TAP.1985.1143709
  21. YANG, H. Y., ALEXOPOULOUS, N. G. Gain enhancement methods for printed circuit antennas through multiple superstrates. IEEE Transactions on Antennas and Propagation, 1987, vol. 35, no. 7, p. 860–863. DOI: 10.1109/TAP.1987.1144186
  22. FERESIDIS, A. P., GOUSSETIS, G., WANG, S. H., et al. Artificial magnetic conductor surfaces and their application to lowprofile high-gain planar antennas. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 1, p. 209–215. DOI: 10.1109/TAP.2004.840528
  23. FOROOZESH. A., SHAFAI, L. Investigation into effects of the highly reflective patch-type FSS superstrate on the high-gain cavity resonance antenna. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 2, p. 258–270. DOI: 10.1109/TAP.2009.2037702

Keywords: Higher order mode, differential fed, slot loading, high gain, low side lobe level, microstrip antenna

F. Akhtar, S. I. Naqvi, F. Arshad, Y. Amin, H. Tenhunen [references] [full-text] [DOI: 10.13164/re.2018.0671] [Download Citations]
A Flexible and Compact Semicircular Antenna for Multiple Wireless Communication Applications

This work presents a compact, quad-band planar antenna intended for assimilation into flexible and conformal devices. The CPW-fed semicircular shaped prototype with rake-shaped slots is designed, realized and characterized experimentally. The frequency bands covered by the proposed radiator are centered at 2.5, 3.7, 5.5 and 8 GHz with measured impedance bandwidths of 16%, 13.5%, 11.8% and 14.63% respectively. The proposed antenna is thus enabled to support WLAN, ISM, Bluetooth, WiMAX, LTE and X-band applications. The antenna exhibits a significant gain. The radiation characteristics of the proposed radiator are measured in concave and convex bent shapes at various radii to analyze its flexibility. Performance of the antenna remains almost unaffected in the bent situation. Measurements demonstrate good coherence with simulations. The compactness and good performance of the design both in bent and unbent conditions proves it to be the better contender for future multiband conformal wireless applications.

  1. LI, D., MAO, J.-F. Coplanar waveguide-fed-Koch-like sided Sierpinski hexagonal carpet multifractal monopole antenna. IET Microwaves, Antennas & Propagation, 2014, vol. 8, no. 5, p. 358 to 366. DOI: 10.1049/iet-map.2013.0041
  2. WU, R.-Z., WANG, P., ZHENG, Q., et al. Compact CPW-fed triple band antenna for diversity applications. Electronics Letters, 2015, vol. 51, no. 10, p. 735–736. DOI: 10.1049/el.2015.0466
  3. LIU, G., FANG, M., ZHI, R., et al. Compact CPW-fed multiband antenna for TD-LTE/WLAN/WiMAX applications. Progress In Electromagnetics Research Letters, 2017, vol. 65, p. 9–14. DOI: 10.2528/PIERL16102203
  4. JAYASINGHE, J. W., ANGUERA, J., UDUWAWALA, D. N. A simple design of multiband microstrip patch antennas robust to fabrication tolerances for GSM, UMTS, LTE, and Bluetooth applications by using genetic algorithm optimizations. Progress in Electromagnetics Research, 2012, vol. 27, p. 255–269. DOI: 10.2528/PIERM12102705
  5. KHAN, A., NAQVI, S. I., ARSHAD, F., et al. A compact quadband CPW-fed planar resonator for multiple wireless communication applications. Applied Computational Electromagnetics Society Journal, 2017, vol. 32, no. 11, p. 1001–1007. ISSN: 1054-4887
  6. BOUKARKAR, A., LIN, X. Q., JIANG, Y., et al. Miniaturized single-feed multiband patch antennas. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 2, p. 850–854. DOI: 10.1109/TAP.2016.2632620
  7. CAO, Y. F., CHEUNG, S. W., YUK, T. I. A multiband slot antenna for GPS/WiMAX/WLAN systems. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 3, p. 952–958. DOI: 10.1109/TAP.2015.2389219
  8. CHEN, Y. J., LIU, T. W., TU, W. H. CPW-fed penta-band slot dipole antenna based on comb-like metal sheets. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 202–205. DOI: 10.1109/LAWP.2016.2569606
  9. SALLAM, M. O., KANDIL, S. M., VOLSKI, V., et al. Wideband CPW-fed flexible bow-tie slot antenna for WLAN/WiMAX systems. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 8, p. 4274–4277. DOI: 10.1109/TAP.2017.2710227
  10. HU, B., GAO, G. P., HE, L. L., et al. Bending and on-arm effects on a wearable antenna for 2.45 GHz body area network. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 378 to 381. DOI: 10.1109/LAWP.2015.2446512
  11. ABUTARBOUSH, H. F., SHAMIM, A. Wide frequency independently controlled dual-band inkjet-printed antenna. IET Microwaves, Antennas & Propagation, 2014, vol. 8, no. 1, p. 52–56. DOI: 10.1049/iet-map.2013.0229
  12. LEE, C. M., KIM, Y., KIM, Y., et al. A flexible and transparent antenna on a polyamide substrate for laptop computers. Microwave and Optical Technology Letters, 2015, vol. 57, no. 5, p. 1038 to 1042. DOI: 10.1002/mop
  13. AHMED, S., TAHIR, F. A., SHAMIM, A., et al. A compact kapton-based inkjet-printed multiband antenna for flexible wireless devices. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1802–1805. DOI: 10.1109/LAWP.2015.2424681
  14. LIU, H., WEN, P., ZHU, S., et al. Quad-band CPW-fed monopole antenna based on flexible pentangle-loop radiator. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1373–1376. DOI: 10.1109/LAWP.2015.2406391
  15. HAMOUDA, Z., WOJKIEWICZ, J.-L., PUD, A. A., et al. Dualband elliptical planar conductive polymer antenna printed on a flexible substrate. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5864–5867. DOI: 10.1109/TAP.2015.2479643
  16. ABUTARBOUSH, H. F., FAROOQUI, M. F., SHAMIM, A. Inkjet-printed wideband antenna on resin-coated paper substrate for curved wireless devices. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 15, p. 20–23. DOI: 10.1109/LAWP.2015.2425797
  17. CASULA, G. A., MAXIA, P., MONTISCI, G., et al. A multiband proximity-coupled-fed flexible microstrip antenna for wireless systems. International Journal of Antennas and Propagation, 2016, p. 1–7. DOI: 10.1155/2016/8536058
  18. TANG, M.-C., SHI, T., ZIOLKOWSKI, R. W. Flexible efficient quasi-Yagi printed uniplanar antenna. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5343–5350. DOI: 10.1109/TAP.2015.2486807
  19. BALANIS, C. A. Antenna Theory Analysis and Design. 3rd ed. Hoboken NJ (USA): John Wiley & Sons, 2005. ISBN: 0-471- 66782-X
  20. CHAIMOOL, S., AKKARAEKTHALIN, P. Advanced Transmission Techniques in WiMAX. London (UK): InTech, 2012.(Ch. 2) ISBN: 978-953-307-965-3
  21. LIU, L., CHEUNG, S. W., WENG, Y. F., et al. Ultra WidebandCurrent Status and Future. London (UK): InTech, 2012. (Ch. 12) ISBN: 978-953-51-0781-1
  22. LIU, L., WENG, Y. F., CHEUNG, S. W., et al. Modeling of cable for measurements of small monopole antennas. In The 7th Loughborough Antennas & Propagation Conference (LAPC). Loughborough (UK), 2011, p. 1–4. DOI: 10.1109/LAPC.2011.6114153

Keywords: Quad-band, CPW-feedline, Flexible Substrate, WLAN, WiMAX

S. Ballav, S. K. Parui [references] [full-text] [DOI: 10.13164/re.2018.0679] [Download Citations]
Aperture Coupled Dielectric Resonator Antenna Embedded in a Secondary Substrate for Mechanical Firmness

An aperture coupled rectangular dielectric resonator antenna (RDRA) is proposed where high permittivity radiating element is embedded on a comparatively low permittivity secondary substrate material (here FR4 is used). Proposed dielectric resonator antenna (DRA) is accurately excited in fundamental mode TE111 for efficient broadside radiation. All the existing DRAs are placed on ground plane using adhesive which is not suitable for practical application. The proposed design can be considered as a promising solution of mounting of DRA on the ground plane with good mechanical firmness. Since the RDRA is embedded on FR4 substrate, it can be withstand very high pressure and vibrating condition which is the main practical limitation of existing DRA technology. The effect of embedding the radiating DRA on secondary substrate is studied here in details. A prototype of single antenna element is designed, fabricated and measured to validate the simulation results. Further, a 2×2 antenna array with such antenna element is designed at the centre frequency of 6.684 GHz for obtaining higher directive gain. The array offers an impedance bandwidth of 4.94% and a maximum gain of 13.4 dBi at the operating frequency. A good agreement has been obtained between the measured and simulated results.

  1. LUK, K. M., LEUNG, K. W. Dielectric Resonator Antennas. Baldock, Hertfordshire, England: Research Studies Press LTD, 2003. ISBN: 0 86380 263 X
  2. PETOSA, A. Dielectric Resonator Antenna Handbook. Norwood, MA: Artech House, 2007. ISBN: 1596932066
  3. MONGIA, R. K., ITTIPIBOON, A. Theoretical and experimental investigations on rectangular dielectric resonator antennas. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 9, p. 1348–1356. DOI: 10.1109/8.623123
  4. KAJFEZ, D., GUILLON, P. Dielectric Resonators. Norwood, MA: Artech House, 1986. ISBN: 1-884932-05-3
  5. GLISSON, A. W., KAJFEZ, D., JAMES, J. Evaluation of modes in dielectric resonators using a surface a surface integral equation formulation. IEEE Transactions on Microwave Theory and Techniques, 1983, vol. 31, no. 12, p. 1023–1029. DOI: 10.1109/TMTT.1983.1131656
  6. KAJFEZ, D., GLISSON, A. W., JAMES, J. Computed modal field distributions for isolated dielectric resonators. IEEE Transactions on Microwave Theory and Techniques, 1984, vol. 32, no. 12, p. 1609–1616. DOI: 10.1109/TMTT.1984.1132900
  7. ANTAR, Y. M. M., FAN, Z. Theoretical investigation of aperture coupled rectangular dielectric resonator antenna. IEE ProceedingsMicrowave, Antennas and Propagation, 1996, vol. 143, no. 2, p. 113–118. DOI: 10.1049/ip-map:19960269
  8. PAN, Y. M., LEUNG, K. W., LUK, K. M. Design of the millimeter-wave rectangular dielectric resonator antenna using a higher-order mode. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 8, p. 2780–2788. DOI: 10.1109/TAP.2011.2158962
  9. NIKKHAH, M. R., KISHK, A. A., MOHASSEL, J. R. Wideband DRA array placed on array of slot windows. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5382–5390. DOI: 10.1109/TAP.2015.2490246
  10. LIN, J. H., SHEN, W., YANG, K. A low-sidelobe and wideband series-fed linear dielectric resonator antenna array. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 513–516. DOI: 10.1109/LAWP.2016.2586579
  11. RANA, B., PARUI, S. K. Nonresonant microstrip patch-fed dielectric resonator antenna array. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 747–750. DOI: 10.1109/LAWP.2014.2379624
  12. KISHK, A. A. Experimental study of broadband embedded dielectric resonator antennas excited by a narrow slot. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 4, p. 79–81. DOI: 10.1109/LAWP.2005.844648
  13. SANGIOVANNI, A., DAUVIGNAC, J. Y., PICHOT, CH. Embedded dielectric resonator antenna for bandwidth enhancement. Electronics Letter, 1997, vol. 33, no. 25, p. 2090–2091. DOI: 10.1049/el:19971430
  14. PETOSA, A., THIRAKOUNE, S., ZULIANI, M., et al. Comparison between planar arrays of perforated DRAs and microstrip patches. In IEEE Antennas and Propagation Society International Symposium. Washington (USA), 2005, vol. 2. DOI: 10.1109/APS.2005.1551764
  15. PETOSA, A., THIRAKOUNE, S., ITTIPIBOON, A. Array of perforated dielectric resonator antennas. In IEEE Antennas and Propagation Society International Symposium. 2004, vol. 1, p. 1106–1109. DOI: 10.1109/APS.2004.1329868
  16. MOVAHEDINIA, R., CHAHARMIR, M. R., SEBAK, A. R., et al. Realization of large dielectric resonator antenna ESPAR. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 7, p. 3744–3749. DOI: 10.1109/TAP.2017.2705024

Keywords: Aperture coupled, antenna gain, dielectric resonator antenna (DRA), embedded

N. Pouyanfar, Ch. Ghobadi, J. Nourinia, K. Pedram, M. Majidzadeh [references] [full-text] [DOI: 10.13164/re.2018.0686] [Download Citations]
A Compact Multi-Band MIMO Antenna with High Isolation for C and X Bands Using Defected Ground Structure

A compact multi-band multi-input multi-output (MIMO) antenna with high isolation is proposed for C and X bands applications. The antenna consists of two trapezoidal-shaped patches printed on FR-4 substrate with a thickness of 1.6 mm and compact size of 17 mm×42 mm. Defected ground structure (DGS) is wisely embedded in antenna body to reduce the mutual coupling between the antenna elements. This modification suitably enhances the isolation by 30dB in C-band extended from 6.6 GHz to 7.6 GHz and by 17 dB in X-band between 8.3 GHz to 10 GHz. Moreover, five meander line rectangular patches are properly included to further improve the mutual coupling and eliminate the antenna size increment, simultaneously. The aforementioned meander lines also improve impedance bandwidth of the antenna as well as impedance matching over the entire frequency band. Close agreement of simulated and measured results confirm the antenna outperformance. Design, simulation, and performance analysis of the proposed antenna is discussed in detail.

  1. FLETCHER, P., DEAN, M., NIX, A. Mutual coupling in multielement array antennas and its influence on MIMO channel capacity. Electronics Letters, 2003, vol. 39, no. 4, p. 342–344. DOI: 10.1049/el:20030219
  2. ABDALLA, M. A., ABDELRAHEEM, A. M., ABDEGELLEL, M. H., et al. Surface wave and mutual coupling reduction between two element array MIMO antenna. In IEEE Antennas and Propagation Society International Symposium (APSURSI). Orlando (FL, USA), 2013, p. 178–179. DOI: 10.1109/APS.2013.6710750
  3. RAJO-IGLESIAS, E., QUEVEDO-TERUEL, O., INCLANSANCHEZ, L. Mutual coupling reduction in patch antenna arrays by using a planar EBG structure and a multilayer dielectric substrate. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 6, p. 1648–1655. DOI: 10.1109/TAP.2008.923306
  4. NAIDU, P. R. T., KRISHNA, K. V., SHAIK, L. A., et al. Enhancement of isolation in printed MIMO antenna using multiple EBG elements. In IEEE Applied Electromagnetics Conference (AEMC). Guwahati (India), 2015, p. 1–2. DOI: 10.1109/AEMC.2015.7509212
  5. PALANDOKEN, M. Artificial materials based microstrip antenna design. Chapter in Nasimuddin, N. (ed.) Microstrip Antennas. InTechOpen, 2011. DOI: 10.5772/14908
  6. TAO, J., FENG, Q. Compact ultrawideband MIMO antenna with half-slot structure. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 792–795. DOI: 10.1109/LAWP.2016.2604344
  7. DENG, J-Y., GUO, L-X., LIU, X-L. An ultrawideband MIMO antenna with a high isolation. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 182–185. DOI: 10.1109/LAWP.2015.2437713
  8. WANG, Y., DU, Z. A wideband printed dual-antenna system with a novel neutralization line for mobile terminals. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 1428–1431. DOI: 10.1109/LAWP.2013.2287199
  9. SARKAR, D., SRIVASTAVA, K. V. A compact four-element MIMO/diversity antenna with enhanced bandwidth. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 2469–2472. DOI: 10.1109/LAWP.2017.2724439
  10. ALSATH, M. G. N., KANAGASABAI, M., BALASUBRAMANIAN, B. Implementation of slotted meander-line resonators for isolation enhancement in microstrip patch antenna arrays. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 15 to 18. DOI: 10.1109/LAWP.2012.2237156
  11. ARUN, H., SARMA, A. K., KANAGASABAI, M., et al. Deployment of modified serpentine structure for mutual coupling reduction in MIMO antennas. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 277–280. DOI: 10.1109/LAWP.2014.2304541
  12. HABASHI, A., NOURINIA, J., GHOBADI, C. A rectangular defected ground structure (DGS) for reduction of mutual coupling between closely-spaced microstrip antennas. In 20th Iranian Conference on Electrical Engineering (ICEE). Tehran (Iran), 2012, p. 1347–1350. DOI: 10.1109/IranianCEE.2012.6292566
  13. PEDRAM, K., NOURINIA, J., GHOBADI, C. A small dual band antenna with simple structure for WLAN/WIMAX application. In 8th International Symposium on Telecommunications (IST). Tehran (Iran), 2016, p. 349–352. DOI: 10.1109/ISTEL.2016.7881838
  14. PALANDOKEN, M. Compact bioimplantable MICS and ISM band antenna design for wireless biotelemetry applications. Radioengineering, 2017, vol. 26, no. 4, p. 917–923. DOI: 10.13164/re.2017.091
  15. PAN, B. C., CUI, T. J. Broadband decoupling network for dualband microstrip patch antennas. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 10, p. 5595–5598. DOI: 10.1109/TAP.2017.2742539
  16. MONTI, A., SORIC, J., BARBUTO, M., et al. Mantle cloaking for co-site radio-frequency antennas. Applied Physics Letters, 2016, vol. 108, no. 11, p. 1–5, article ID 113502. DOI: 10.1063/1.4944042
  17. JIANG, Z., SIEBER, P. E., KANG, L., et al. Restoring intrinsic properties of electromagnetic radiators using ultralightweight integrated metasurface cloaks. Advanced Functional Materials, 2015, vol. 25, no. 29, p. 4708–4716. DOI: 10.1002/adfm.201501261
  18. VELLUCI, S., MONTI, A., BARBUTO, M., et al. Satellite applications of electromagnetic cloaking. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 9, p. 4931–4934. DOI: 10.1109/TAP.2017.2722865
  19. IBRAHIM, A. A., ABDALLA, M. A. CRLH MIMO antenna with reversal configuration. AEU-International Journal of Electronics and Communications, 2016, vol. 70, no. 9, p. 1134–1141. DOI: 10.1016/j.aeue.2016.05.012

Keywords: Multi-Input Multi-Output, Defected Ground Structure (DGS), mutual coupling, C band, X band

I. Elfergani, J. Rodriguez, I. Otung, W. Mshwat, R. Abd-Alhameed [references] [full-text] [DOI: 10.13164/re.2018.0694] [Download Citations]
Slotted Printed Monopole UWB Antennas with Tunable Rejection Bands for WLAN/WiMAX and X-Band Coexistence

Four versions of the compact hexagonal-shaped monopole printed antennas for UWB applications are presented. The first proposed antenna has an impedance bandwidth of 127.48 % (3.1 GHz to 14 GHz), which satisfies the bandwidth for ultra-wideband communication systems. To reduce the foreseen co-channel interference with WLAN (5.2GHz) and X-Band systems (10GHz), the second and third antennas type were generated by embedding hexagonal slot on the top of the radiating patch. The integration of the half and full hexagonal slots created notched bands that potentially filtered out the sources of interference, but were static in nature. Therefore, a fourth antenna type with tuneable-notched bands was designed by adding a varactor diode at an appropriate location within the slot. The fourth antenna type is a dual-notch that was electronically and simultaneously tuned from 3.2GHz to 5.1GHz and from 7.25GHz up to 9.9GHz by varying the bias voltages across the varactor. The prototypes of the four antenna versions were successfully fabricated and tested. The measured results have good agreement with the simulated results.

  1. ALLEN, B., DOHLER, M., OKON, E., et al. Ultra Wideband Antennas and Propagation for Communications, Radar and Imaging. London (UK): Wiley, 2006. ISBN: 9780470032558
  2. FONTANA, R. J. Recent system applications of short-pulse ultrawideband (UWB) technology. IEEE Transactions on Microwave Technology and Technique, 2004, vol. 52, no. 9, p. 2087–2104. DOI: 10.1109/TMTT.2004.834186
  3. FEDERAL COMMUNICATIONS COMMISSION. First Report and order, Revision of part 15 of the Commission's Rule Regarding Ultra-Wideband Transmission System FCC 02-48, 2002.
  4. RAY, K., THAKUR, S., DESHMKH, A. Compact slotted printed monopole UWB antenna. International Journal of Computer Applications in Technology, 2013, p. 16–18. ISSN: 0952-8091
  5. GONG, X., TONG, L., TIAN, Y., GAO, B., Design of a microstrip-fed hexagonal shape UWB antenna with triple notched bands. Progress In Electromagnetics Research C, 2016, vol. 62, p. 77–87. DOI: 10.2528/PIERC15101701
  6. KHAN, M., KHAN, M., AHMAD, I., SALEEM, S. Design of a printed monopole antenna with ridged ground for ultra wideband applications. In Progress In Electromagnetic Research Symposium (PIERS). Shanghai (China), 2016, p. 4394–4396. DOI: 10.1109/PIERS.2016.7735634
  7. AKRAM, S., SHAMBAVI, K., ZACHARIAH, C. Design of printed strip monopole antenna for UWB applications. In IEEE Second International Conference on Electronics and Communication System (ICECS). Coimbatore (India), 2015, p. 823–826. DOI: 10.1109/ECS.2015.7125027
  8. CRUZ, J., FREIRE, R., SERRES, A. Parametric study of printed monopole antenna bioinspired on the Inga Marginata leaves for UWB applications. Journal of Microwaves, Optoelectronics and Electromagnetic Applications, March 2017, vol. 16, p. 312–321. DOI: 10.1590/2179-10742017v16i1891
  9. LI, P., LIANG, J., CHEN, X. Study of printed elliptical/circular slot antennas for ultra wideband applications. IEEE Transactions on Antennas and Propagation, 2006. vol. 54, no. 6, p. 1670–1675. DOI: 10.1109/TAP.2006.875499
  10. ABID, M., KAZIM, J., OWAIS. Ultra-wideband circular printed monopole antenna for cognitive radio applications. International Journal of Microwave and Optical Technology, 2015, vol. 10, no. 3, p. 184–189. ISSN: 1553-0396
  11. MAHMUD, M., ISLAM, M., SAMSUZZAMAN, M. A compact single notch printed antenna for UWB applications. International Journal of Innovative Research, 2016, vol. 1, no. 1, p. 19–23. ISSN: 2520-5919
  12. YADAV, A., MALAV, A. Microstrip UWB antenna with WiMax notched band characteristics. International Journal of Recent Research and Review, 2014, no. 2, p. 74–77. ISSN: 2277-8322
  13. MANDAL, T., DAS, S. Design of a microstrip fed printed monopole antenna for bluetooth and UWB applications with WLAN notch band characteristics. International Journal of RF and Microwave Computer-Aided Engineering, January 2015, vol. 25, no. 1, p. 66–74. DOI: 10.1002/mmce.20824
  14. NAGHAR, A., ALEJOS, A., FALCONE, F., AGHZOUT, O. Synthesis design of single notched-band UWB antenna using the CSRR dynamic resonance. In The 10th European Conference on Antennas and Propagation (EuCAP). Davos (Switzerland), 2016, p. 1–3. DOI: 10.1109/EuCAP.2016.7481932
  15. MIN, X., ZHANG, H., ZHONG, T., CHEN, Q. Design of an UWB antenna with adjustable rejection bandwidth using novel dual-T square resonator. Progress In Electromagnetics Research Letters, 2016, vol. 64, p. 87–92. DOI: 10.2528/PIERL16101501
  16. WAHEED, N., SAADAT, A., UZAIR ZUBAIR, M., et al. Ultrawideband antenna with WLAN and WiMAX band-notch characteristic. In International Conference on Communication, Computing and Digital Systems (C-CODE). Islamabad (Pakistan), 2017, p. 101–105. DOI: 10.1109/C-CODE.2017.7918910
  17. LIN-CHUAN TSAI A ultrawideband antenna with dual-band band-notch filters. Microwave and Optical Technology Letters, 2017, vol. 59, no. 8, p. 1861–1866. DOI: 10.1002/mop.30639
  18. KIM, S., CHOI, D. Compact filtering monopole patch antenna with dual‑band rejection. Springer Plus, June 2016, p. 1–12. DOI: 10.1186/s40064-016-2597-3
  19. MANSOURI, Z., AREZOMAND, A., HEYDARI, S., et al. Dual notch UWB fork monopole antenna with CRLH metamaterial load. Progress In Electromagnetics Research C, 2016, vol. 65, p. 111–119. DOI:10.2528/PIERC16040711
  20. SAM, W., ZAKARIA, Z. Design of a dual-notched ultra-wideband (UWB) planar antenna using L-shaped bandstop resonator. In The 11th European Conference on Antennas and Propagation (EuCAP). Paris (France), 2017, p. 2237–2241. DOI: 10.23919/EuCAP.2017.7928210
  21. SIDDIQUI, J., SAHA, C., ANTAR, Y. Compact dual-SRR-loaded UWB monopole antenna with dual frequency and wideband notch characteristics. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 100–103. DOI: 10.1109/LAWP.2014.2356135
  22. HUA, C., LU, Y., LIU, T. UWB heart-shaped planar monopole antenna with a reconfigurable notched band. Progress In Electromagnetics Research Letters, 2017, vol. 65, p. 123–130. DOI: 10.2528/PIERL16120203
  23. SUN1, L., WANG, C., CHEUNG, W., et al. Planar UWB monopole antenna with tunable notch band. In PIERS Proceedings. Kuala Lumpur (Malaysia), 2012, p. 27–30. ISBN: 978-1-934142-20-2
  24. ATALLAH, H., ABDEL-RAHMAN, A., YOSHITOMI, K., et al. Tunable band-notched CPW-fed UWB monopole antenna using capacitively loaded microstrip resonator for cognitive radio applications. Progress In Electromagnetics Research C, 2016, vol. 62, p. 109–117. DOI: 10.2528/PIERC16010501
  25. MALIK, J., VELALAM, P., KARTIKEYAN, M. Continuously tunable band-notched ultra wide band antenna. Microwave and Optical Technology Letters, 2015, vol. 57, no. 4, p. 924–928. DOI: 10.1002/mop.28990
  26. GAO, G., HU, B., HE, L., et al. Investigation of a reconfigurable dual notched UWB antenna by conceptual circuit model and timedomain characteristics. Microwave and Optical Technology Letters, 2017, vol. 59, no. 6, p. 1326–1332. DOI: 10.1002/mop.30535
  27. WU, W., LI, Y., WU, R., et al. Band-notched UWB antenna with switchable and tunable performance. International Journal of Antennas and Propagation, 2016, p. 1–6. DOI: 10.1155/2016/9612987
  28. TLI, T., ZHU, C., CAO, X., et al. Compact UWB antenna with sharp tunable band-notched characteristics. Microwave and Optical Technology Letters, 2016, vol. 58, no. 3, p. 529–532. DOI: 10.1002/mop.29607
  29. TANG, M., WANG, H., DENG, T., et al. Compact planar ultrawideband antennas with continuously tunable, independent band-notched filters. IEEE Transactions on Antennas and Propagation, 2016. vol. 64, no. 8, p. 3292–3201. DOI: 10.1109/TAP.2016.2570254
  30. CST-COMPUTER SIMULATION TECHNOLOGY AG, 2014.

Keywords: Monopole printed antenna, UWB, notched bands, varactor

T. Okan, N. Akcam [references] [full-text] [DOI: 10.13164/re.2018.0703] [Download Citations]
Analysis of Antennas around NURBS Surfaces by Using a Hybrid Method

To fast and accurately calculate the EM scattering problems like radiation of antenna around complex objects, many different techniques have been performed. However, these techniques (exact solution methods) either have a long calculation time or they (hybrid methods) tend to fail when the antenna is near or adjacent to structures. In this study, to make the scattering analysis of antennas fast and accurately, proposed stationary phase method (SPM) based hybrid MoM-PO method is implemented. For the geometrical modelling part, the antenna and its close vicinity is modelled with triangular facets, and the rest of the structure is modelled with non-uniform rational b-spline (NURBS) surfaces which provides efficient and accurate modeling. Maximum 1.7 dB of difference in the radiation pattern is observed for an antenna positioned around a curvy plate, when the results are obtained by using MoM and the proposed method. Despite that little difference, the calculation time for MoM is around 41 minutes; whereas for the proposed hybrid method it is 9 seconds. Overall, this hybrid formulation and NURBS modeling allow a substantial reduction of computation time and memory requirement to analyze radiation of antennas that are even close or connected to structure surfaces.

  1. LACIK, J., RAIDA, Z. Modeling microwave structures in time domain using Laguerre polynomials. Radioengineering, 2006, vol. 15, no. 3, p. 1–9. ISSN: 1210-2512
  2. RAO, S., WILTON, D., GLISSON, A. Electromagnetic scattering by surfaces of arbitrary shape. IEEE Transactions on Antennas and Propagation, 1982, vol. 30, no. 3, p. 409–418. DOI: 10.1109/TAP.1982.1142818
  3. SONG, J., LU, C., CHEW, W. C. Multilevel fast multipole algorithm for electromagnetic scattering by large complex objects. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 10, p. 1488–1493. DOI: 10.1109/8.633855
  4. SCHEJBAL, V., SVOBODA, P., PIDANIC, J., et al. Twodimensional forward scattering comparisons of approximate and exact solutions. Radioengineering, 2012, vol. 21, no. 1, p. 471 to 477. ISSN: 1210-2512
  5. CERNY, O., DOLECEK, R., KOPECKY, P., et al. Optimization of far-field antenna range. Radioengineering, 2015, vol. 24, no. 4, p. 892–897. DOI: 10.13164/re.2015.0892
  6. GORDON, W. B. Far-field approximation to the KirchoffHelmholtz representations of scattered field. IEEE Transactions on Antennas and Propagation, 1975, vol. 23, p. 590–592. DOI: 10.1109/TAP.1975.1141105
  7. LUDWIG, A. Computation of radiation patterns involving numerical double integration. IEEE Transactions on Antennas and Propagation, 1968, vol. 16, no. 6, p. 767–769. DOI: 10.1109/TAP.1968.1139296
  8. FILON, L. N. G. On a quadrature formula for trigonometric integrals. Proceedings of the Royal Society of Edinburgh, 1928, vol. 49, p. 38–47. DOI: 10.1017/S0370164600026262
  9. LEVIN, D. Procedures for computing one and two dimensional integrals of functions with rapid irregular oscillations. Mathematics of Computation, 1982, vol. 38, no. 158, p. 531–538. DOI: 10.1090/S0025-5718-1982-0645668-7
  10. BONDIA-VICO, F., FERRANDO-BATALLER, M., VALERONOGUEIRA, A. A new fast physical optics for smooth surfaces by means of a numerical theory of diffraction. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 3, p. 773–789. DOI: 10.1109/TAP.2009.2039308
  11. WU, Y. M., JIANG, L. J., SHA, W. E. I., CHEW, W. C. The numerical steepest descent path method for calculating physical optics integrals on smooth conducting quadratic surfaces. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 8, p. 4183–4193. DOI: 10.1109/TAP.2013.2259788
  12. PEREZ, J., CATEDRA, M. F. Application of physical optics to the RCS computation of bodies modeled with NURBS surfaces. IEEE Transactions on Antennas and Propagation, 1994, vol. 42, no. 10, p. 1404–1411. DOI: 10.1109/8.320747
  13. CONDE, O. M., PEREZ, J., CATEDRA, M. F. Stationary phase method application for the analysis of radiation of complex 3-D conducting structures. IEEE Transactions on Antennas and Propagation, 2001, vol. 49, no. 5, p. 724–731. DOI: 10.1109/8.929626
  14. ZHANG, J., YU, W. M., ZHOU, X. Y., et al. Efficient evaluation of the physical-optics integrals for conducting surfaces using the uniform stationary phase method. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 5, p. 2398–2408. DOI: 10.1109/TAP.2012.2189737
  15. GUAN, Y., GONG, S., XU, Y., et al. Computation of RCS of targets modelled with trimmed NURBS surfaces. IET Electronics Letters, 2009, vol. 45, no. 21, p. 1092–1093. DOI: 10.1049/el.2009.1449
  16. JAKOBUS, U., LANDSTORFER, F. M. Improved PO-MM hybrid formulation for scattering from three-dimensional perfectly conducting bodies of arbitrary shape. IEEE Transactions on Antennas and Propagation, 1995, vol. 43, no. 2, p. 162–169. DOI: 10.1109/8.366378
  17. HODGES, R. E., RAHMAT-SAMII, Y. An iterative current-based hybrid method for complex structures. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 2, p. 265–276. DOI: 10.1109/8.560345
  18. VALLE, L., RIVAS, F., CATEDRA, M. F. Combining the moment method with geometrical modeling by NURBS surfaces and Bezier patches. IEEE Transactions on Antennas and Propagation, 1994, vol. 42, no. 3, p. 373–381. DOI: 10.1109/8.280724
  19. ADANA, F. S., DIEGO, I. G., BLANCO, O. G., et al. Method based on physical optics for the computation of the radar cross section including diffraction and double effects of metallic and absorbing bodies modeled with parametric surfaces. IEEE Transactions on Antennas and Propagation, 2004, vol. 52, no. 12, p. 3295–3303. DOI: 10.1109/TAP.2004.836444
  20. LIU, J., GUO, L. Evaluation of physical optics integrals from bspline surfaces by means of a fast locating algorithm of stationary points. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 3, p. 1495–1499. DOI: 10.1109/TAP.2016.2640146
  21. DJORDJEVIC, M., NOTAROS, B. M. Higher order hybrid method of moments-physical optics modelling technique for radiation and scattering from large perfectly conducting surfaces. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 2, p. 800–813. DOI: 10.1109/TAP.2004.841318
  22. NOGA, A., KARWOWSKI, A. Analysis of electrically large problems using the hybrid MM-PO method. In MIKON 2008 - 17th International Conference on Microwaves, Radar and Wireless Communications. Wroclaw (Poland), May 2008. ISBN: 978-83-906662-8-0

Keywords: B-spline surfaces, method of moments (MoM), physical optics (PO), scattering problems, stationary phase method (SPM)

M. Palandoken, C. Gocen, A. Kaya, F. Gunes, C. Baytore, F.C Can [references] [full-text] [DOI: 10.13164/re.2018.0711] [Download Citations]
A Novel Split-Ring Resonator and Voltage Multiplier based Rectenna Design for 900 MHz Energy Harvesting Applications

This paper proposes a novel rectenna design for the compact RF energy harvesting system operating at 900 MHz. The rectenna design is based on a probe fed split-ring resonator on an elliptical slotted ground plane and an RF-DC rectifying circuit in the form of Villard voltage doubler circuit. The energy harvesting antenna is numerically modeled and fabricated on a 1.524 mm thick Rogers RO4003C substrate with a compact overall size of 81.25 mm x 87.5 mm (λ0/4.1 x λ0/3.8). The measured reflection coefficient indicates the proposed energy harvesting antenna to operate at 890 MHz with 51.3 MHz bandwidth covering GSM 900 band. As an RF-DC rectifying electronic circuit, a one stage voltage doubler circuit based on zero bias Schottky barrier diode in conjunction with the inductive impedance matching and λ/4 impedance transformer circuits has been designed. The DC voltage of nonlinear RF rectifier circuit is obtained as 1.7 V at the output load for -11 dBm input power level. The measured and simulated results confirm the proposed rectenna system to have a technical potential for the operation of low power and low voltage electronic devices.

  1. PAVONE, D., BUONANNO, A., D’URSO, M., et al. Design considerations for radio frequency energy harvesting devices. Progress In Electromagnetics Research Journal, 2012, vol. 45, p. 19–35. DOI: 10.2528/PIERB12062901
  2. LU, X., WANG, P., NIYATO, D., et al. Wireless networks with RF energy harvesting: A contemporary survey. IEEE Communications Surveys and Tutorials, 2014, vol. 17, no. 2, p. 757–789. DOI: 10.1109/COMST.2014.2368999
  3. KIM, J. H., BITO, J., TENTZERIS, M. M. Design optimization of an energy harvesting rf-dc conversion circuit operating at 2.45 GHz. In IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting. Vancouver (BC, Canada), 2015. DOI: 10.1109/APS.2015.7305029
  4. ZAKARIA, Z., ZAINUDDIN, N. A., HUSAIN, M. N., et al. Current developments of RF energy harvesting system for wireless sensor networks. International Journal on Advances in Information Sciences and Service Sciences (AISS), 2013, vol. 5, no. 11, p. 328–338. DOI: 10.4156/AISS.vol5.issue11.39
  5. PENELLA-LOPEZ, M. T., GASULLA-FORNER, M. Powering Autonomous Sensors: An Integral Approach with Focus on Solar and RF Energy Harvesting. 1st ed. Barcelona: Springer Science + Business Media, 2011. Chapter 6. ISBN 978-94-007-1573-8
  6. AGRAWAL, S., PANDEY, S., SINGH, J., et al. An efficient RF energy harvester with tuned matching circuit. In VLSI Design and Test, 17th International Symposium, VDAT. Jaipur (India), 2013, vol. 382, p. 138–145. DOI: 10.1007/978-3-642-42024-5_17
  7. MUNIR, S. W., AMJAD, O., ZEYDAN, E., et al. Optimization and analysis of WLAN RF energy harvesting system architecture. In 2016 International Symposium on Wireless Communication Systems (ISWCS). Poznan (Poland), 2016, p. 429–433. DOI: 10.1109/ISWCS.2016.7600942
  8. RAZAVI HAERI, A. A., GHADERI KARKANI, M., SHARIFKHANI, M., et al. Analysis and design of power harvesting circuits for ultra-low power applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 2017, vol. 64, no. 2, p. 471–479. DOI: 10.1109/TCSI.2016.2609144
  9. MATTSSON, M., KOLITSIDAS, C. I., SILVER, O. B. G., et al. A high gain dual-polarised differential rectenna for RF energy harvesting. In 2017 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting. San Diego (CA, USA), 2017, p. 1609–1610. DOI: 10.1109/APUSNCURSINRSM.2017.8072847
  10. SARMA, S. S., AKHTAR, M. J. A dual band meandered printed dipole antenna for RF energy harvesting applications. In 2016 IEEE 5th Asia-Pacific Conference on Antennas and Propagation (APCAP). Kaohsiung (Taiwan), 2016, p. 93–94. DOI: 10.1109/APCAP.2016.7843115
  11. CHANG, M. C., WENG, W. C., CHEN, W. H., et al. A wideband planar rectenna for WLAN wireless power transmission. In 2017 IEEE Wireless Power Transfer Conference (WPTC). Taipei (Taiwan), 2017, p. 1–3. DOI: 10.1109/WPT.2017.7953823
  12. ZAKARIA, Z., ZAINUDDIN, N. A., ABD AZIZ, M. Z. A., et al. Dual-band monopole antenna for energy harvesting system. In 2013 IEEE Symposium on Wireless Technology & Applications (ISWTA). Kuching (Malaysia), 2013, p. 225–229. DOI: 10.1109/ISWTA.2013.6688775
  13. MAVADDAT, A., ARMAKI, S. H. M., ERFANIAN, A. R. Millimeter-wave energy harvesting using 4times4 microstrip patch antenna array. IEEE Antenna Wireless Propagation Letters, 2014, vol. 14, p. 515–518. DOI: 10.1109/LAWP.2014.2370103
  14. YO, T.-C., LEE, C.-M., HSU, C.-M., et al. Compact circularly polarized rectenna with unbalanced circular slots. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 3, p. 882–886. DOI: 10.1109/TAP.2008.916956
  15. HEIKKINEN, J., KIVIKOSKI, M. A novel dual-frequency circularly polarized rectenna. IEEE Antenna Wireless Propagation Letters, 2003, vol. 2, p. 330–333. DOI: 10.1109/LAWP.2004.824166
  16. PALANDOKEN, M. Microstrip antenna with compact anti-spiral slot resonator for 2.4 GHz energy harvesting applications. Microwave and Optical Technology Letters, 2016, vol. 58, no. 6, p. 1404–1408. DOI: 10.1002/mop.29824
  17. TU, W.-H., HSU, S.-H., CHANG, K. Compact 5.8-GHz rectenna using stepped-impedance dipole antenna. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 282–284. DOI: 10.1109/LAWP.2007.898555
  18. PALANDOKEN, M. Artificial materials based microstrip antenna design. Chapter in Nasimuddin, N. (ed.) Microstrip Antennas. InTechOpen, 2011. DOI: 10.5772/14908
  19. BORATAY ALICI, K. B., OZBAY, E. Electrically small split ring resonator antennas. Journal of Applied Physics, 2007, vol. 101, no. 8, p. 1–4, ID 083104. DOI: 10.1063/1.2722232
  20. BARBUTO, M., BILOTTI, F., TOSCANO, A. Design of a multifunctional SRR‐loaded printed monopole antenna. International Journal of RF and Microwave Computer Aided Engineering, 2012, vol. 22, no. 4, p. 552–557. DOI: 10.1002/mmce.20645
  21. BARBUTO, M., MONTI, A., BILOTTI, F., et al. Design of a nonfoster actively loaded SRR and application in metamaterialinspired components. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 1219–1227. DOI: 10.1109/TAP.2012.2228621
  22. CST MICROWAVE STUDIO CST, ver. 2017.00 [Online] Cited 2018-03-19 Available at http://www.cst.com/products/cstmws/.
  23. ANSOFT CORPORATION, Ansoft Designer. [Online] Cited 2018-03-19. Available at http://www.ansoft.com/products/hf/ansoft_designer/.
  24. SKYWORKS SMS7630 Series’ Technical Documentation. [Online] Cited 2018-03-19 Available at http://www.skyworksinc.com/Product/511/SMS7630_Series#three
  25. KY-028 Digital Temperature Sensor [Online] Cited 2018-03-19 Available at http://sensorkit.en.joy-it.net/index.php?title=KY028 _Temperature_Sensor_module_(Thermistor)#Technical_data_.2F_ Short_description.
  26. TAVARES, J., BARROCA, N., SARAIVA, H. M., et al. Spectrum opportunities for electromagnetic energy harvesting from 350 MHz to 3 GHz. In 2013 7th International Symposium on Medical Information and Communication Technology (ISMICT). Tokyo (Japan), 2013, p. 126–130. DOI: 10.1109/ISMICT. 2013.6521714
  27. OSMAN, Z., AZEMI, S. N., EZANUDDIN, A. A. M., et al. Compact antenna design for outdoor RF energy harvesting in wireless sensor networks. In 2016 3rd International Conference on Electronic Design (ICED). Phuket (Thailand), 2016, p. 199–202. DOI: 10.1109/ICED.2016.7804636
  28. TARIS, T., VIGNERAS, V., FADEL, L. A 900MHz RF energy harvesting module. In 10th IEEE International NEWCAS Conference. Montreal (QC, Canada), 2012, p. 445–448. DOI: 10.1109/ NEWCAS.2012.6329052
  29. ALMONEEF, T. S., RAMAHI, O. M. Metamaterial electromagnetic energy harvester with near unity efficiency. Applied Physics Letters, 2015, vol. 106, no. 15, p. 1–4, ID 153902. DOI: 10.1063/1.4916232

Keywords: RF energy harvesting, rectenna, microstrip antenna, RF-DC conversion, voltage multiplier

A. Pattanayak, G. Rana, S. P. Duttagupta, P. S. Gandhi, A. A. Deshmukh [references] [full-text] [DOI: 10.13164/re.2018.0718] [Download Citations]
Design of a 5 GHz Reflectarray with Reduced Size Unit Cell and Extremely Low Phase Sensitivity

This article presents a novel, compact reflectarray antenna operating at 5 GHz. The array consists of two types of phasing element - square ring and complementary square ring. The complementary square ring is used for the phase values that are not covered by the single ring element. The grid size of the array is 0.28λ × 0.28λ at operating frequency 5 GHz that is much smaller than the conventional periodicity 0.5λ × 0.5λ. The aim of unit cell design is to have a slower slope of the reflection phase graph without sacrificing the phase range of 360° where in general there is a trade-off between these two goals. The maximum slope in reflection phase graph is obtained here is 34°/mm. The proposed array is fabricated on a low loss PTFE substrate of thickness 3.175 mm (0.053λ at 5 GHz) and illuminated by a horn antenna. Radiation pattern results show a very precised far-field beam with 3-dB beamwidth of 7° and 7.3° for two principle planes respectively. The gain of the antenna is 26 dBi at 5 GHz.

  1. HUANG, J., ENCINAR, J. A. Reflectarray Antennas. Hoboken (NJ, USA): IEEE Press, 2007. ISBN: 9780470178768
  2. POZAR, D. M., TARGONSKI, S. D., SYRIGOS, H. D. Design of millimeter wave microstrip reflectarray. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 2, p. 286–296. DOI: 10.1109/8.560348
  3. MOUSTAFA, L., GILLARD, R., PERIS, F., et al. The phoenix cell: a new reflectarray cell with large bandwidth and rebirth capabilities. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 71–74. DOI: 10.1109/LAWP.2011.2108633
  4. BIALKOWSKI, M. E., SAYIDMARIE, K. H. Investigations into phase characteristics of a single-layer reflectarray employing patch or ring elements of variable size. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 11, p. 3366–3372. DOI: 10.1109/TAP.2008.2005470
  5. RAJAGOPALAN, H., SHENHENG XU, RAHMAT-SAMII, Y. On understanding the radiation mechanism of reflectarray antennas: An insightful and illustrative approach. IEEE Antennas and Propagation Magazine, 2012, vol. 54, no. 5, p. 14–38. DOI: 10.1109/MAP.2012.6348112
  6. ENCINAR, J. A. Design of two-layer printed reflectarrays using patches of variable size. IEEE Transactions on Antennas and Propagation, 2001, vol. 49, no. 10, p. 1403–1410. DOI: 10.1109/8.954929
  7. HAMZAVI-ZARGHANI, Z., ATLASBAF, Z. A new broadband single-layer dual-band reflectarray antenna in X- and Ku-bands. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 14, p. 602–605. DOI: 10.1109/LAWP.2014.2374351
  8. HAN, C., ZHANG, Y., YANG, Q. A broadband reflectarray antenna using triple gapped rings with attached phase-delay lines. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 5, p. 2713–2717. DOI: 10.1109/TAP.2017.2679493
  9. TIAN, C., JIAO, Y. C., LIANG, W. L. A broadband reflectarray using phoenix unit cell. Progress In Electromagnetics Research Letters, 2014, vol. 50, p. 67–72. DOI: 10.2528/PIERL14093003
  10. LEE, S. R., LIM, E. H., LO, F. L. Broadband single-layer E-patch reflectarray. Radioengineering, 2017, vol. 26, no. 1, p. 97–106. DOI: 10.13164/re.2017.0097
  11. DENG, R., XU, S., YANG, F. Design of a Ku/Ka quad-band reflectarray antenna for satellite communications. In IEEE International Symposium on Antennas and Propagation. Fajardo (Puerto Rico), 2016. DOI: 10.1109/APS.2016.7696316
  12. YOON, J. H., YOON, Y. J., LEE, W., et al. Square ring element reflectarrays with improved radiation characteristics by reducing reflection phase sensitivity. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 2, p. 814–818. DOI: 10.1109/TAP.2014.2379919
  13. CADORET, D., MARNAT, R., LOISON, R., et al. A dual linear polarized printed reflectarray using slot loaded patch elements. In The Second European Conference on Antennas and Propagation. Edinburgh (UK), 2007. DOI: 10.1049/ic.2007.1620
  14. CAI, T., WANG, G. M., ZHANG, X. F. Compact dual-resonance element with low phase sensitivity for offset reflectarray antennas. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 16, p. 1213–1216. DOI: 10.1109/LAWP.2016.2628584
  15. POZAR, D. M. Wideband reflectarrays using artificial impedance surfaces. Electronics Letters, 2007, vol. 43, no. 3, p. 148–149. DOI: 10.1049/el:20073560
  16. ZHAO, G., JIAO, Y. C., ZHANG, F., et al. A subwavelength element for broadband circularly polarized reflectarrays. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 330 to 333. DOI: 10.1109/LAWP.2010.2047836
  17. NAYERI, P., YANG, F., ELSHERBENI, A. Z. Bandwidth improvement of reflectarray antennas using closely spaced elements. Progress In Electromagnetics Research C, 2011, vol. 18, p. 19–29. DOI: 10.2528/PIERC10091505
  18. PATTANAYAK, A., RANA, G., DUTTAGUPTA, S. P., et al. A broadband reflectarray with combination of subwavelength phasing elements. In Asia-Pacific Microwave Conference. New Delhi (India), Dec. 2016. DOI: 10.1109/APMC.2016.7931462
  19. BOZZI, M., GERMANI, S., PERREGRINI, L. Performance comparison of different element shapes used in printed reflectarrays. IEEE Antennas and Wireless Propagation Letters, 2003, vol. 2, p. 219–222. DOI: 10.1109/LAWP.2003.819687

Keywords: Reflectarray, phase sensitivity, single ring, complementary single ring

M. Moradian , S. S. Hashemi [references] [full-text] [DOI: 10.13164/re.2018.0724] [Download Citations]
Linear Array of Center Line Longitudinal Slots Excited by Double Ridge Waveguides

Slot antennas fed by the wiggly ridge wave¬guides are difficult to construct using a typical milling machine. Therefore, a special type of the double ridge waveguides is introduced here to feed the center line longi¬tudinal slot antennas. Furthermore, in the proposed slot antennas, all the slots are placed along the waveguide center line. So, employing the proposed slot antennas leads to the elimination of the butterfly lobes that are attributed to the alternating offsets of the successive slots with respect to the centerline. In the proposed slot antennas, the ridges are placed on the lower broad walls of the waveguides while the slots are cut into the upper broad walls of the waveguides. The height of the ridges is changed gradually at the slot positions in several steps, i.e., the height of one of the ridges is increased upward while the height of the other ridges is decreased downward. It is shown that in-creasing the step heights leads to stronger radiation of the electromagnetic energy by the slots. To verify the effective¬ness of the proposed slot antenna, a linear array antenna consisting of five slots with side-lobe level of –20 dB is designed and constructed. The simulation and the measurement results show that the designing goals are achieved which verifies the effectiveness of the proposed slot antennas.

  1. ELLIOTT, R. S. Theory of waveguide-fed slot radiators. In Elliott, R. S. Antenna Theory and Design. Rev. ed. New Jersey (USA): John Wiley & Sons, 2003. P. 91–99. ISBN: 978-0-471-44996-6
  2. KURTZ, L. A., YEE, J. S. Second-order beams of two dimensional slot arrays. IRE Transactions on Antennas and Propagation, 1957, vol. 5, no. 4, p. 356–362. DOI: 10.1109/TAP.1957.1144524
  3. DERNERYD, A. Butterfly lobes in slotted waveguide antennas. In 1987 Antennas and Propagation Society International Symposium. Blacksburg (VA, USA), 1987, p. 15–19. DOI: 10.1109/APS.1987.1150038
  4. CHATTERJEE, S., GHATAK, R., PODDAR, D. R. Analysis of asymmetric iris excited centered slot antenna on the broadwall of rectangular waveguide. In 2011 International Conference on Information and Electronics Engineering IPCSIT. Bangkok (Thailand), May 2011, p. 75–79.
  5. PARK, P. K., KIM, H. D. Centered Longitudinal Shunt Slot Fed by a Resonant Offset Ridge Iris. European Patent – EP 0 988 662 B1, Bulletin 2000/13, 29.03.2000, p. 1–9.
  6. GOEBLES, F. J., FORMAN, B. J., NONEMAKER, C. H. Scanning of linear slot arrays using diode irises. IEEE Transactions on Antennas and Propagation, 1968, vol. 16, no. 1, p. 8–14. DOI: 10.1109/TAP.1968.1139106
  7. TANG, R. A. Slot with variable coupling and its application to a linear array. IEEE Transactions on Antennas and Propagation, 1960, vol. 8, no. 1, p. 97–101. DOI: 10.1109/TAP.1960.1144812
  8. DATTA, A., CHAKARABORTY, A., DAS, B. Analysis of a strip loaded resonant longitudinal slot in the broad wall of a rectangular waveguide. IEE Proceedings H (Microwaves, Antennas and Propagation), 1993, vol. 140, no. 2, p. 135–140. DOI: 10.1049/iph-2.1993.0021
  9. AZAR, T. J. Analysis of slotted waveguide antenna array excited by tuning screws. PhD Thesis, Drexel University, 1998.
  10. LIM, K. S., KOO, V. C., LIM, T. S. Design, simulation and measurement of a post slot waveguide antenna. Journal of Electromagnetic Waves and Applications, 2007, vol. 21, no. 12, p. 1589–1603. DOI: 10.1163/156939307781870880
  11. ANAND, A., DAS, S. A novel virtually centred broad wall longitudinal slot for antenna application. International Journal of RF and Microwave Computer-Aided Engineering, 2010, vol. 20, no. 3, p. 272–278. DOI: 10.1002/mmce.20430
  12. KHAZARI, M., KHALAJ-AMIRHOSSEINI, M. To reduce side lobe level of slotted array antennas using nonuniform waveguides. International Journal of RF and Microwave Computer-Aided Engineering, 2016, vol. 26, no. 1, p. 42–46. DOI: 10.1002/mmce.20936
  13. MALLAHZADEH, A., MOHAMMAD-ALI-NEZHAD, S. A low cross-polarization slotted ridged SIW array antenna design with mutual coupling considerations. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 1, p. 4324–4333. DOI: 10.1109/TAP.2015.2457952
  14. ESMAELI, S. H., SEDIGHY, S. H. Side lobe level reduction of slot array antenna by artificial magnetic conductor side walls. Electronics Letters, 2016, vol. 52, no. 1, p. 1513–1514. DOI: 10.1049/el.2016.2430
  15. GREEN, J., SHNITKIN, H., BERTALAN, P. J. Asymmetric ridge waveguide radiating element for a scanned planar array. IEEE Transactions on Antennas and Propagation, 1990, vol. 38, no. 8, p. 1161–1165. DOI: 10.1109/8.56951
  16. MORADIAN, M., KHALAJ-AMIRHOSSEINI, M., TAYARANI, M. Application of wiggly ridge waveguide for design of linear array antennas of centred longitudinal shunt slot. International Journal of RF and Microwave Computer-Aided Engineering, 2009, vol. 19, no. 6, p. 717–726. DOI: 10.1002/mmce.20396
  17. RENGARAJAN, S. R. Mutual coupling between waveguide-fed longitudinal broad wall slots radiating between baffles. Electromagnetics, 1996, vol. 16, no. 6, p. 671–638. DOI: 10.1080/02726349608908507
  18. FOROORAGHI, K., KILDAL, P. S. Reduction of second order beams in slotted waveguide array using baffles. In IEE International Conference on Antennas and Propagation. York (England), April 1991, p. 725–728. ISBN: 0-85296-508-7
  19. SUZUKI, T., HIROKAWA, J., ANDO, M. Iteration-free design of waveguide slot array with cavities. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 12, p. 3891–3897. DOI: 10.1109/TAP.2010.2078435
  20. MONTISCI, G., MAZZARELLA, G., CASULA, G. A. Effective analysis of a waveguide longitudinal slot with cavity. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 7, p. 125–138. DOI: 10.1109/TAP.2012.2196953
  21. COLLIN, R. Foundations for Microwave Engineering. New York: IEEE Press; 2001. ISBN: 978-0-7803-6031-0
  22. POZAR, D. Microwave Engineering. Hoboken (NJ): Wiley; 2012. ISBN: 978-0-470-63155-3
  23. EDWARDS, T. Foundations for Microstrip Circuit Design. New York: Wiley-Interscience, 1981. ISBN: 978-1-118-93619-1
  24. RIZZI, P. Microwave Engineering. Estados Unidos: Prentice Hall; 1988. ISBN-10: 0135867029
  25. HELSZAJN, J. Ridge Waveguide and Passive Microwave Components. Institution of Electrical Engineers, 2000. ISBN: 978- 0-85296-794-2
  26. STERN, G. J., ELLIOTT, R. S. Resonant length of longitudinal slots and validity of circuit representation: Theory and experiment. IEEE Transactions on Antennas and Propagation, 1985, vol. 33, no. 11, p. 1264–1271. DOI: 10.1109/TAP.1985.1143509
  27. MCNAMARA, D., JACOBS, J., JOUBERT, J. Form of field in small-offset longitudinal slot in broad wall of rectangular waveguide. Electronics Letters, 1992, vol. 28, no. 1, p. 16–17. DOI: 10.1049/el:19920010

Keywords: Slotted array antenna, double ridge waveguide, second-order beams, longitudinal slots, linear arrays

Gh. Karimi, A. Salehi, F. Javidan [references] [full-text] [DOI: 10.13164/re.2018.0732] [Download Citations]
A Miniaturized (UWB) Bandpass Filter Using Elliptical-Ring Multi-Mode Stub-loaded Resonator (MM-SLR)

In this paper, a novel miniaturized ultra-wide¬band (UWB) band pass filter (BPF) with sharp slopes tran-sition band is reported. The UWB BPF (7.45 GHz to 19.85 GHz) consists of modified elliptical-ring and multi-mode stub-loaded resonator (MM-SLR) and symmetry tight coupled resonator (STCR) via input/output (I/O) lines, achieving UWB band pass response. With adding a T-shaped to the middle resonator, two transmission ze¬roes are created at 6.84/23.05 GHz. The proposed filter has the ability of creating a notch band in pass band by reducing the length of two coupled lines that can be con¬trolled based on analytical method. Moreover, the equiva¬lent circuit and the analytical theories of each circuit ele¬ment are proposed. Measured results of fabricated filter have the advantages such as ultra-wide pass band of the defined UWB pass band are 7.45 GHz and 19.85 GHz, satisfying the requirements of FCC-specified UWB limits, compact size, low insertion loss < 0.6 dB and the stop band of the proposed filter is from 19.85 to 33 GHz with attenu-ation of –17 to –29 dB respectively. The proposed UWB filter is realized using the substrate with dielectric constant of 2.2 and substrate height of 0.787 mm Experimental veri¬fication is provided and good agreement has been found between simulation and measurement.

  1. FEDERAL COMMUNICATIONS COMMISSION. Revision of Part 15 of the Commission’s Rules Regarding Ultra-Wideband Transmission Systems, First Note and Order Federal Communications Commission. ET-Docket, p. 98–153, 2002.
  2. HONG, J.-S., LANCASTER, M. J. Microstrip Filters for RF/Microwave Applications. John Wiley & Sons, Inc., 2001. DOI: 10.1002/0471221619
  3. KUO, J. T., SHIH, E. Wideband band pass filter design with threeline microstrip structures. IEE Proceedings on Microwave, Antennas and Propagation, 2002, vol. 149, no. 5, p. 243–247. DOI: 10.1049/ip-map: 20020572
  4. HUNG-WEI WU, YUNG-WEI CHEN, YU-FU CHEN. New ultra-wideband (UWB) band pass filter using triangle-ring multimode stub-loaded resonator. Microelectronics Journal, 2012, vol. 43, no. 11, p. 857–862. DOI: 10.1016/j.mejo.2012.06.005
  5. WANG, J.P. ZHAO, J.D., LI, J.L. Compact UWB band pass filter with triple notched bands using parallel U-shaped defected microstrip structure. Electronics Letters, 2014, vol. 50, no. 2, p. 89–91. DOI: 10.1049/el.2013.3077
  6. SONG, K., PAN, T., XUE, Q. Asymmetric dual-line coupling strip for multiple notched bands: Theory and implementation. Microelectronics Journal, 2012, vol. 43, no. 6, p. 416–422. DOI. 10.1016/j.mejo.2012.03.004
  7. NAKHLESTANI, A., HAKIMI, A. Wideband microstrip ring resonator band pass filter with embedded rings. Microelectronics Journal, 2013, vol. 44, no. 5, p. 462–467. DOI: 10.1016/j.mejo.2013.01.011
  8. ZHANG, X. R., WANG, Q. Y., CAO, J. H. UWB waveguide band pass filter with a narrow notched band. Journal of Electromagnetic Waves and Applications, 2012, vol. 26, no. 8-9, p. 1116–1124. DOI: 10.1080/09205071.2012.710542
  9. LIU, J., SONG, K., FAN, Y. UWB BPF with triple notched bands using novel dual-mode SIR and asymmetrical coupling structure. Journal of Electromagnetic Waves and Applications, 2012, vol. 26, no. 16, p. 2112–2120. DOI: 10.1080/09205071.2012.727532
  10. LUO, X., MA, J-G., YEO, K. S., LI, E-P. Compact ultra-wideband (UWB) band pass filter with ultra-narrow dual- and quad-notched bands. IEEE Transactions on Microwave Theory and Technique, 2011, vol. 59, no. 6, p. 1509–1519. DOI: 10.1109/TMTT.2011.2116800
  11. GAO, M-J., WU, L-S., MAO, J-F. Compact notched ultrawideband band pass filter with improved out-of-band performance using quasi electromagnetic band gap structure. Progress in Electromagnetics Research, 2012, vol. 125, p. 137–150. DOI: 10.2528/PIER12011701
  12. YANG, G.M., JIN, R., VITTORIA, C., et al. Small ultra-wideband (UWB) band pass filter with notched band. IEEE Microwave and Wireless Components Letters, 2008, vol. 18, no. 3, p. 176–178. DOI: 10.1109/LMWC.2008.916781
  13. XU, J., LI, B., WANG, H., et al. Compact UWB band pass filter with multiple ultra-narrow notched bands. Journal of Electromagnetic Waves and Applications, 2011, vol. 25, no. 7, p. 987–998. DOI: 10.1163/156939311795254037
  14. MONDAL, P., GUAN, L. Y. A coplanar stripline ultra-wideband band pass filter with notch band. IEEE Microwave and Wireless Components Letters, 2010, vol. 20, no. 1, p. 22–24. DOI: 10.1109/LMWC.2009.2035956
  15. HAO, Z-C., HONG, J-S., PARRY, J. P., et al. Ultra-wideband band pass filter with multiple notch bands using nonuniform periodical slotted ground structure. IEEE Transactions on Microwave Theory and Technique, 2009, vol. 57, no. 12, p. 3080–3088. DOI: 10.1109/TMTT.2009.2034230
  16. WONG, S. W., ZHU, L. Implementation of compact UWB band pass filter with a notch-band. IEEE Microwave and Wireless Components Letters, 2008, vol. 18, no. 7, p. 10–12. DOI: 10.1109/LMWC.2007.911972
  17. SONG, K., HU, S., FAN, Y., et al. Compact ultra-wideband (UWB) slotline band pass filter with a switchable notch band. Journal of Electromagnetic Waves and Applications, 2013, vol. 27, no. 6, p. 691–699. DOI: 10.1080/09205071.2013.762677
  18. LI, K., KURITA, D., MATSUI, T. Dual-band ultra-wideband band pass filter. In IEEE MTT-S International Microwave Symposium Digest. San Francisco (CA, USA), 2006, p. 1193–196. DOI: 10.1109/MWSYM.2006.249423
  19. MENZEL, W., FEIL, P. Ultra-wideband (UWB) filter with WLAN notch. In 36th European Microwave Conference. Manchester (UK), 2006, p. 595–598. DOI. 10.1109/EUMC.2006.281462
  20. SHAMAN, H., HONG, J.–S. Ultra-wideband (UWB) band pass filter with embedded band notch structures. IEEE Microwave and Wireless Components Letters, 2007, vol. 17, no. 3, p. 193–195. DOI: 10.1109/LMWC.2006.890467
  21. SHAMAN, H., HONG, J.–S. Asymmetric parallel-coupled lines for notch implementation in UWB filters. IEEE Microwave and Wireless Components Letters, 2007, vol. 17, no. 7, p. 516–518. DOI: 10.1109/LMWC.2007.899314
  22. LI, K., KURITA, D., MATSUI, T. UWB band pass filters with multi notched bands. In Proceedings of the 36th European Microwave Conference. Manchester (UK), 2006, p. 591–594. DOI: 10.1109/EUMC.2006.281461

Keywords: Band pass filter (BPF), Ultra-wide band (UWB), Multi-Mode Resonator (MMR), Elliptical-Ring, Stub-Loaded Resonator (SLR), Symmetric tight coupled resonator (STCR)

L. V. Abdulhakim, C.K. Aanandan [references] [full-text] [DOI: 10.13164/re.2018.0738] [Download Citations]
A Novel Polarization Independent Wideband Circuit Analog Absorber Using Crossed Loops

In this paper, a polarization independent wideband circuit analog absorber is proposed. The structure consists of two dimensional periodic array of crossed loops embedded with lumped resistors printed on dielectric substrates backed by a metal plate. It offers a -10 dB reflectivity (90%absorptivity) fractional bandwidth of 126.5% (4.6 GHz to 20.45 GHz). The total thickness of the absorber (dielectric+ air gap) is about 0.084λL at the lowest frequency. The effect of different structural parameters on absorption characteristics are studied and presented. The prototype of the proposed absorber is fabricated and the measured responses are in good agreement with simulated results.

  1. FANTE, R. L., McCORMACK, M. T. Reflection properties of the Salisbury screen. IEEE Transactions on Antennas and Propagation, 1988, vol. 36, no. 10, p. 1443–1454. DOI: 10.1109/8.8632
  2. DU TOIT, L. J., CLOETE, J. H. A design process for Jaumann absorbers. In Proceedings of the Digest on Antennas and Propagatiovn Society International Symposium. San Jose (USA), 1989, p. 1558–1561. DOI: 10.1109/APS.1989.135020
  3. VALAGIANNOPOULOS, C. A. Electromagnetic absorption of gaussian beams by a grounded layered structure. Radioengineering, 2013, vol. 22, no. 1, p. 333–340.
  4. SIEVENPIPER, D., ZHANG, L., BROAS, R., et al. High impedance electromagnetic surfaces in a forbidden frequency band. Transactions on Microwave Theory and Techniques, 1999, vol. 47, no. 11, p. 2059–2074. DOI: 10.1109/22.798001
  5. COSTA, F., MONORCHIO, A. Electromagnetic absorbers on high impedance surfaces: From ultra narrowband to ultra wideband absorption. Advanced Electromagnetics, 2012, vol. 1, no. 3, p. 7–12. DOI: 10.7716/aem.v1i3.22
  6. CHRONOPOULOS, S. K., CHRISTOFILAKIS, V., TATSIS, G., et al. Performance of turbo coded OFDM under the presence of various noise types. Wireless Personal Communications,2016, vol. 87, no. 4, p. 1319–1336. DOI: 10.1007/s11277-015-3055-1
  7. CHRONOPOULOS, S, K., VOTIS, C., RAPTIS, V. In depth analysis of noise effects in orthogonal frequency division multiplexing systems, utilising a large number of subcarriers. AIP Conference Proceedings, 2010, vol. 1203, no. 1, p. 967–972. DOI: 10.1063/1.3322592
  8. RAPTIS, V., TATSIS, G., CHRONOPOULOS, S., et al. Development and experimental measurements of a tunable antenna. Communications and Network, 2013, vol. 5, no. 3, p. 220–224. DOI: 10.4236/cn.2013.53026
  9. HAN, T., CAO, X., GAO, J., et al. Design of a novel wideband low-scattering shared aperture metasurface. Radioengineering, 2017, vol. 26, no. 4, p. 1006–1012, DOI: 10.13164/re.2017.1006
  10. LANDY, N. I., SAJUYIGBE, S., MOCK, J. J., et al. Perfect metamaterial absorber. Physical Review Letters, 2008, vol. 100, no. 20, p. 207402. DOI: 10.1103/PhysRevLett.100.207402
  11. MUNAGA, P., GHOSH, S., BHATTACHARYYA, S., et al. An ultrathin dual-band polarization-independent metamaterial absorber for EMI/EMC Applications. In Proceedngs of the 9 t h European Conference on Antennas and Propagation (EuCAP). Lisbon (Portugal), 2015, p. 1–4.
  12. CHAURASIYA, D., GHOSH, S., BHATTACHARYYA, S., et al. An ultrathin quad-band polarization-insensitive wide angle metamaterial absorber. Microwave and Optical Technology Letters, 2015, vol. 57, p. 697–702. DOI: 10.1002/mop.28928
  13. HUANG, L., CHEN, H. Multi-band and polarization insensitive metamaterial absorber. Progess in Electromagnetic Research, 2011, vol. 113, p. 103–110. DOI: 10.2528/PIER10122401
  14. JANG, Y., YOO, M., LIM, S. Conformal metamaterial absorber for curved surface. Optics Express, 2013, vol. 21, no. 20, p. 24163–24170. DOI: 10.1364/OE.21.024163
  15. GHOSH, S., BHATTACHARYYA, S., CHAURASIYA, D., et al. Polarization- insensitive and wide-angle multilayer metamaterial absorbers with variable bandwidths. IET Electronic Letters, 2015, vol. 51, no. 14, p. 1050–1052. DOI: 10.1049/el.2015.1167
  16. MA, B., LIU, S., BIAN, B., et al. Novel three-band microwave metamaterial absorber. Journal of Electromagnetic Waves and Applications, 2014, vol. 28, no. 12, p. 1478–1486. DOI: 10.1080/09205071.2014.929050
  17. SHARMA, S. K., GHOSH, S., SRIVASTAVA, K. V., et al. Ultrathin dual-band polarization-insensitive conformal metamaterial mbsorber. Microwave And Optical Technology Letters, 2017, vol. 59, no. 2, p. 348–353. DOI: 10.1002/mop.30285
  18. KIM, J., HOKMABADI, M. P., BALCI, S., et al. Investigation of robust flexible conformal THz perfect metamaterial absorber. Applied Physics A, 2016, vol. 122, no. 4, p. 1–7. DOI: 10.1007/s00339-016-9857-5
  19. DIAZ, R. Magnetic loading of artificial magnetic conductors for bandwidth enhancement. In Proceedings of the IEEE Antennas and Propagation Society International Symposium. Columbus (USA), 2003, vol. 2, p. 431–434. DOI: 10.1109/APS.2003.1219268
  20. KERN, D. J., WERNER, D. H. Magnetic loading of EBG AMC ground planes and ultrathin absorbers for improved bandwidth performance and reduced size. Microwave and Optical Technology Letters, 2006, vol. 48, no. 12, p. 2468–2471. DOI: 10.1002/mop.21972
  21. YANG, H., CAO, X., GAO, J., et al. Low RCS metamaterial absorber and extending bandwidth based on electromagnetic resonances. Progress in Electromagnetic Research M, 2013, vol. 33, p. 31–44. DOI: 10.2528/PIERM13080104
  22. BHATTACHARYYA, S., GHOSH, S., SRIVASTAVA, K. V. Triple band polarization-independent metamaterial absorber with bandwidth enhancement at X-band. Journal of Applied Physics, 2013, vol. 114, no. 9, article ID 094514. DOI: 10.1063/1.4820569
  23. GHOSH, S., BHATTACHARYYA, S., SRIVASTAVA, K. V. Bandwidth-enhancement of an ultra-thin polarization insensitive metamaterial absorber. Microwave and Optical Technology Letters, 2014, vol. 56, no. 2, p. 350–355. DOI: 10.1002/mop.28122
  24. KUNDU, D., MOHAN, A., CHAKRABORTY, A. Ultrathin polarization independent absorber with enhanced bandwidth by incorporating giusepe peano fractal in square ring. Microwave and Optical Technology Letters, 2015, vol. 57, no. 5, p. 1072–1078. DOI: 10.1002/mop.29020
  25. LI, M., XIAO, S., BAI, Y. Y., et al. An ultrathin and broadband radar absorber using resistive FSS. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 748–751. DOI: 10.1109/LAWP.2012.2206361
  26. ZHANG, G. R., ZHOU, P. H., ZHANG, H. B., et al. Analysis and design of triple-band high-impedance surface absorber with periodic diversified impedance. Journal of Applied Physics, 2013, vol. 114, p. 164103. DOI: 10.1063/1.4826265
  27. KIM, B. K., LEE, B. Wideband absorber at X-band adopting trumpet-shaped structure. Electronics Letters, 2014, vol. 50, no. 25, p. 1957–1959. DOI: 10.1049/el.2014.2780
  28. KUNDU, D., MOHAN, A., CHAKRABARTY, A. Single-layer wideband microwave absorber using array of crossed dipoles. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 1589–1592. DOI: 10.1109/LAWP.2016.2517663
  29. MUNAGA, P., GHOSH, S., BHATTACHARYYA, S., et al. A fractalbased compact broadband polarization insensitive metamaterial absorber using lumped resistors. Microwave and Optical Technology Letters, 2016, vol. 58, no. 2, p. 343–347. DOI: 10.1002/mop.29571
  30. GHOSH, S., BHATTACHARYYA, S., SRIVASTAVA, K. V. Design and analysis of a broadband single layer circuit analog absorber. In Proceedings of the 46th European Microwave Conference (EuMC). London (UK), 2016, p. 584–587. DOI: 10.1109/EuMC.2016.7824410
  31. WU, W., CUI, K., LU, H., et al. A measured rasorber with two absorptive bands. Radioengineering, 2017, vol. 26, no. 4, p. 979–983. DOI: 10.13164/re.2017.0979
  32. MENG, T., WU, W., YUAN, N. The design and analysis of invisible radome with sandwich-like properties. Radioengineering, 2017, vol. 26, no. 2,p. 423–429. DOI: 10.13164/re.2017.0423
  33. LI, S., CAO, X., GAO, J., et al. Fractal metamaterial absorber with three-order oblique cross dipole slot structure and its application for in-band rcs reduction of array antennas. Radioengineering, 2014, vol. 23, no. 4, p. 1048–1054.
  34. LI, S., CAO, X., LIU, T., et al. Double-layer perfect metamaterial absorber and its application for rcs reduction of antenna. Radioengineering, 2014, vol. 23, no. 1, p. 222–228.
  35. SHANG, Y., SHEN, Z., XIAO, S. On the design of single-layer circuit analog absorber using double-square-loop array. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 12, p. 6022–6029. DOI: 10.1109/TAP.2013.2280836
  36. LI, S., GAO, J., CAO, X., et al. Wideband, thin, and polarizationinsensitive perfect absorber based the double octagonal rings metamaterials and lumped resistances. Journal of Applied Physics, 2014, vol. 116, no. 4, article ID 043710. DOI: 0.1063/1.4891716
  37. YANG, J., SHEN, Z. A thin and broadband absorber using doublesquare loops.IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 388–391. DOI: 10.1109/LAWP.2007.903496
  38. GHOSH, S., BHATTACHARYYA, S., SRIVASTAVA, K. V. Design, characterisation and fabrication of a broadband polarisationinsensitive multi-layer circuit analogue absorber. IET Microwaves, Antennas and Propagation, 2016, vol. 10, no. 8, p. 850–855. DOI: 10.1049/iet-map.2015.0653
  39. COSTA, F., MONORCHIO, A., MANARA, G. Analysis and design of ultra thin electromagnetic absorbers comprising resistively loaded high impedance surfaces. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 5, p. 1551–1558. DOI: 10.1109/TAP.2010.2044329

Keywords: Polarization independent, circuit analog absorber, wideband, crossed loop

J. X. Lan, X. Y. Cao, J. Gao, L. L. Cong, S. M. Wang, H. H. Yang [references] [full-text] [DOI: 10.13164/re.2018.0746] [Download Citations]
Design of Miniaturized Wideband Microwave Absorber Loaded with Lumped Resistance

In this paper, a polarization and angular insensitive microwave absorber with miniaturized structure is proposed. This structure integrates lumped resistances into a metallic bow-tie structure, thus achieving wideband absorbing performance. It can be found that the metallic bow-tie structure increases the equivalent capacitance and equivalent inductance, which enables the absorber with an electrically small structure. The presence of substrate and air layer contributes a lot to the broadband absorption in relative low frequency band. The size of the unit is about 0.092λ_L, and the total thickness is only 0.080λ_L in correspondence to the lowest frequency. Simulated results show that the absorptivity exhibits good stability with respect to different polarized incidence and different incident angles. The absorber exhibits wideband absorptivity above 90% from 2.3 GHz to 9.6 GHz with a relative absorption bandwidth of about 123%. The measured results are in good agreement with the simulated one, which demonstrates the absorber capable of good potentiality in electromagnetic (EM) stealth applications.

  1. LANDY, N. I., SAJUYIGBE, S., MOCK, J. J., et al. Perfect metamaterial absorber. Physical Review Letters, 2008, vol. 100, p. 1–4. DOI: 10.1103/PhysRevLett.100.207402
  2. LANDY, N. I., BINGHAM, C. M., TYLER, T., et al. Design, theory, and measurement of a polarization-insensitive absorber for terahertz imaging. Physical Review B, 2009, vol. 79, p. 1–6. DOI: 10.1103/PhysRevB.79.125104
  3. GHOSH, S., BHATTACHARYYA, S., CHAURASIYA, D., et al. Polarization-insensitive and wide-angle multi-layer metamaterial absorber with variable bandwidths. Electronics Letters, 2015, vol. 51, no. 14, p. 1050–1052. DOI: 10.1049/el.2015.1167
  4. ZHONG, H. T., YANG, X. X., CHONG TAN, et al. Triple-band polarization-insensitive and wide-angle metamaterial array for electromagnetic energy harvesting. Applied Physics Letters, 2016, vol. 109, p. 1–4, article no. 253904. DOI: 10.1063/1.4973282
  5. SHANG, S., YANG, S. Z., LU TAO., et al. Ultrathin triple-band polarization-insensitive wide-angle compact metamaterial absorber. American Institute of Physics Advances, 2016, vol. 6, p. 1–8, article no. 075203. DOI: 10.1063/1.4958660
  6. LI, H., YUAN, L. H., ZHOU, B., et al. Ultrathin multiband gigahertz metamaterial absorbers. Journal of Applied Physics, 2016, vol. 110, p. 1–8. DOI: 10.1063/1.3608246
  7. AN, S. N., XU, H. B., ZHANG, Y. L., et al. Design of a polarization-insensitive wideband tunable metamaterial absorber based on split semi-circle ring resonators. Journal of Applied Physics, 2017, vol. 122, p. 1–5, article no. 025113. DOI: 10.1063/1.4993717
  8. LI, W. C., ZHOU, X., YING, Y., et al. Polarization-insensitive wide-angle multiband metamaterial absorber with a double-layer modified electric ring resonator array. American Institute of Physics Advances, 2015, vol. 5, p. 1–9, article no. 067151. DOI: 10.1063/1.4923194
  9. ZENG, X. L., ZHANG, L. X., WAN, G. B., et al. Active metamaterial absorber with controllable polarization and frequency. Electronics Letters, 2017, vol. 53, no. 16, p. 1085–1086. DOI: 10.1049/el.2017.1618
  10. YUAN, H., ZHU, B. O., FENG, Y. A frequency and bandwidth tunable metamaterial absorber in X-band. Journal of Applied Physics, 2015, vol. 117, p. 1–6, article no. 173103. DOI: 10.1063/1.4919753
  11. HE, Y., JIANG, J. J., MI, C., et al. Design of an adjustable polarization-independent and wideband electromagnetic absorber. Journal of Applied Physics, 2016, vol. 119, p. 1–5, article no. 105103. DOI: 10.1063/1.4943593
  12. LI, S., CAO, X., GAO, J., et al. Fractal metamaterial absorber with three-order oblique cross dipole slot structure and its application for in-band RCS reduction of array antennas. Radioengineering, 2014, vol. 23, no. 4, p. 1048–1054. ISSN: 1210-25-12
  13. PAN, W., YU, X., ZHANG, J., et al. A novel design of broadband terahertz metamaterial absorber based on nested circle rings. IEEE Photonics Technology Letters, 2016, vol. 28, no. 21, p. 2335–2338. DOI: 10.1109/LPT.2016.2593699
  14. YUAN, W., CHEN, Q., XU, Y. S., et al. Broadband microwave absorption properties of ultrathin composites containing edge-split square-loop FSS embedded in magnetic sheets. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 278–281. DOI: 10.1109/LAWP.2016.2572734
  15. LI, L., LV, Z. Y. Ultra-wideband polarization-insensitive and wide-angle thin absorber based on resistive metasurfaces with three resonant modes. Journal of Applied Physics, 2017, vol. 122, p. 1–6, article no. 055104. DOI: 10.1063/1.4997468
  16. HAN, Y., CHE, W. Q. Low-profile broadband absorbers based on capacitive surfaces. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 74–78. DOI: 10.1109/LAWP.2016.2556753

Keywords: Microwave absorber, wideband, miniaturization, lumped resistance

X.-T. Zhao, K.-Y. Guo, X.-Q. Sheng [references] [full-text] [DOI: 10.13164/re.2018.0753] [Download Citations]
High Accuracy Scattering Center Modeling Based on PO and PTD

Based on the solutions of physical optics (PO) and physical optics of diffraction (PTD), we propose a modified parametric scattering center model for high precise signal simulations of extended targets. Different from geometrical theory of diffraction (GTD), which is commonly used in the existing models, the solution of PTD represents the individual scattering contribution of edge diffraction, and can precisely describe the scattering field under full observation angles and polarizations. This model has higher precision than the existing ones, especially for the targets with dominant scattering centers induced by diffraction. And this model is physical where the parameters are related with geometry of the targets. To validate this model, four conducting targets with dominant contributions of diffraction are simulated in this study. The radar cross-sections (RCS) and the time-frequency representations (TFR) of backscattered waves simulated by this model are compared with those computed by a full-wave numerical method, as well as those simulated by a commonly used scattering model. The comparisons show that the results of this model have better agreement with those of the full-wave numerical method than the existing model.

  1. KELLER, J. B. Geometrical theory of diffraction. Journal of the Optical Society of America, 1962, vol. 52, no. 2, p. 116–130.
  2. HURST, H. P., MITTRA, R. Scattering center analysis via Prony’s method. IEEE Transactions on Antennas and Propagation, 1987, vol. 35, no. 8, p. 986–988. DOI: 10.1109/TAP.1987.1144210
  3. POTTER, L. C., CHIANG, D. M., CARRIERE, R., et al. GTD-based parametric model for radar scattering. IEEE Transactions on Antennas and Propagation, 1995, p. 1058–1067. DOI:10.1109/8.467641
  4. GERRY, M. J., POTTER, L. C., GUPTA, I. J., et al. A parametric model for synthetic aperture radar measurements. IEEE Transactions on Antennas and Propagation, 1999, vol. 47, no. 7, p. 1179–1188. DOI: 10.1109/8.785750
  5. GUO, K. Y., LI, Q. F., SHENG, X. Q. Sliding scattering center model for extended streamlined targets. Progress In Electromagnetics Research, 2013, vol. 139, no. 3, p. 499–516. DOI:10.1109/8.467641
  6. QU, Q. Y., GUO, K. Y., SHENG, X. Q. An accurate bistatic scattering center model for extended cone-shaped targets.IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 10, p. 5209–5218. DOI:10.1109/TAP.2014.2342761
  7. QU, Q. Y., GUO, K. Y., SHENG, X. Q. Scattering centers induced by creeping waves on cone-shaped targets in bistatic mode. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 7, p. 3257–3262. DOI:10.1109/LAWP.2014.2367510
  8. FENG, Z., YING, L., QUN, Z., et al. ISAR imaging for avian species identification with frequency-stepped chirp signals. IEEE Geoscience and Remote Sensing Letters, 2010, vol. 7, no. 1, p. 151–155. DOI: 10.1109/LGRS.2009.2028902
  9. ZHOU, J. X., SHI, Z. G., CHENG, X., et al. Automatic target recognition of SAR images based on global scattering center model. IEEE Transactions on Geoscience and Remote Sensing, 2011, vol. 49, no. 10, p. 3713–3729. DOI: 10.1109/TGRS.2011.2162526
  10. DIEMUNSCH, J. R. Moving and stationary target acquisition and recognition (MSTAR) model-based automatic target recognition: Search technology for a robust ATR. Algorithms for Synthetic Aperture Radar Imagery V, 1998, vol. 3370, no. 1, p. 481–192. DOI: 10.1109/TGRS.2011.2162526
  11. ZHOU, J., ZHOU, H., FU, Q. Global scattering center model extraction of radar targets based on wideband measurements. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 7, p. 2051–2060. DOI: 10.1109/TAP.2008.924698
  12. RIGLING, B. D., CHENG, R. L. Three-dimensional surface reconstruction from multistatic SAR images. IEEE Transactions on Image Processing, 2005, vol. 14, no. 8 p. 1159–1171. DOI: 10.1109/TIP.2005.851690
  13. GUO, K. Y., QU, Q. Y., SHENG, X. Q. Geometry reconstruction based on attributes of scattering centers by using time-frequency representations. IEEE Transactions on Antennas and Propagation, 2005, vol. 14, no. 2, p. 1159–1171. DOI: 10.1109/TAP.2015.2511779
  14. KNOTT, E. F. Comparison of three high-frequency diffraction techniques. Proceedings of the IEEE, 1974, vol. 62, no. 11, p. 1468–1474. DOI: 10.1109/PROC.1974.9653
  15. MICHAELI, A. Elimination of infinities in equivalent edge currents, Part I: fringe current component. IEEE Transactions on Antennas and Propagation, 1986, vol. 34, no. 7, p. 912–918. DOI: 10.1109/TAP.1986.1143913
  16. PAN, X. M., SHENG, X. Q. A sophisticated parallel MLFMA for scattering by extremely large targets. IEEE Antennas and Propagation Magazine, 2008, vol. 50, no. 3, p. 129–138. DOI: 10.1109/MAP.2008.4563583
  17. GUO, K. Y., SHENG, X. Q. Miss distance estimation based on scattering center model using time-frequency analysis. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 15, no. 10, p. 1012–1015. DOI: 10.1109/LAWP.2015.2490088
  18. AUGER, F., FLANDRIN, P. Improving the readability of time frequency and time scale representations by the reassignment method. IEEE Transactions on Signal Processing, 2006, vol. 52, no. 2, p. 489– 509. DOI:10.1109/78.382394
  19. MICHAELI, A. Equivalent edge currents for arbitrary aspects of observation. IEEE Transactions on Antennas and Propagation, 1984, vol. 32, no. 3, p. 252–258. DOI:10.1109/TAP.1984.1143303
  20. KNOTT, E. F. RCS reduction of dihedral corners. IEEE Transactions on Antennas and Propagation, 1977, vol. 25, no. 3, p. 406–409. DOI: 10.1109/TAP.1977.1141586
  21. KNOTT, E. F., SHAEFFER, J. F., TULEY, M. T. Radar Cross Section. Scitech Publishing, 2004. ISBN: 1891121251
  22. LI, Q., ROTHWELL, E. J., CHEN, K. M., et al. Scattering center analysis of radar targets using fitting scheme and genetic algorithm. IEEE Transactions on Antennas and Propagation, 1996, vol. 44, no. 2, p. 198–207. DOI:10.1109/8.481648
  23. HUGHES, E. J., LEYLAND, M. Using multiple genetic algorithms to generate radar point-scatterer models. IEEE Transactions on Evolutionary Computation, 2000, vol. 4, no. 2, p. 147–163. DOI:10.1109/4235.850655

Keywords: scattering center model, physical optics (PO), physical optics of diffraction (PTD), edge diffraction, radar cross-sections (RCS)

M. Svanda, M. Kvicera, M. Polivka [references] [full-text] [DOI: 10.13164/re.2018.0762] [Download Citations]
Reliability Improvement of RF Identification of Shadowed People in Building Corridors Using Space Diversity

This paper deals with the investigation of space diversity techniques for elimination of signal fading and consequently extension of coverage area in applications of RF identification of shadowed people in a building corridor. Several measurement scenarios were evaluated. They comprised up to four wearable tags placed at different positions on a human body with one or two reader antennas, including shadowing by a small crowd of people. It was shown that the achieved corresponding SIMO mode diversity gain accounted for ca. 1 dB at the overall improvement in the trace coverage amounted to ca. 5%. On the other hand, the MIMO mode diversity configuration brought an improvement of up to 10% and enabled to cover more than 50% of the identification area, including the scenario where shadowing by a small crowd of people was present.

  1. FINKENZELLER, K. RFID Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification. John Wiley & Sons, 2005. DOI:10.1002/9780470665121
  2. SVANDA, M., POLIVKA, M. Horizontal five-arm folded dipole over metal screening plane for UHF RFID of dielectric objects. Microwave and Optical Technology Letters, 2010, vol. 52, no. 10, p. 2291–2294. DOI: 10.1002/mop.25475
  3. ALI BABAR, A., BJORNINEN, T., BHAGAVATI, V. A., et al. Small and flexible metal mountable passive UHF RFID tag on high-dielectric polymer-ceramic composite substrate. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 1319–1322. DOI: 10.1109/lawp.2012.2227291
  4. LIN, K-H., CHEN, S-L., MITTRA, R. A looped-bowtie RFID tag antenna design for metallic objects. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 2, p. 499–505. DOI: 10.1109/tap.2012.2224082
  5. MO, L., QIN, CH. Planar UHF RFID tag antenna with open stub feed for metallic objects. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 9, p. 3037–3043. DOI: 10.1109/tap.2010.2052570
  6. SVANDA, M., POLIVKA, M. Matching technique for an on-body low-profile coupled-patches UHF RFID tag and for sensor antennas. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 5, p. 2295–2301. DOI: 10.1109/tap.2010.2052570
  7. SVANDA, M., POLIVKA, M., HUDEC, P. Novel low-profile foam dielectric over the shoulder antenna based on coupled patches technique. Microwave and Optical Technology Letters, 2013, vol. 55, no. 3, p. 593–597. DOI: 10.1002/mop.27389
  8. SANZ-IZQUIERDO, B., HUANG, F., BATCHELOR, J. C. Covert dual-band wearable button antenna. Electronic Letters, 2006, vol. 42, no. 12, p. 668–670. DOI: 10.1049/el:20060482
  9. MANZARI, S., PETTINARI, S., MARROCCO, G. Miniaturised wearable UHF-RFID tag with tuning capability. Electronic Letters, 2012, vol. 48, no. 21, p. 1325–1326. DOI: 10.1049/el.2012.2813
  10. MANZARI, S., OCCHIUZZI, C., MARROCCO, G. Feasibility of body-centric systems using passive textile RFID tags. IEEE Antennas and Propagation Magazine, 2012, vol. 54, no. 4, p. 49–62. DOI: 10.1109/map.2012.6309156
  11. OYEKA, D., BATCHELOR, J. C., ZIAI, A. M., et al. Tag diversity of inkjet printed body-worn RFID integrated medical sticking plasters for wireless monitoring. IET Healthcare Technology Letters, 2016, vol. 3, no. 3, p. 1–6. DOI: 10.1049/htl.2016.0024
  12. OCCHIUZZI, C., CAIZZONE, S., MARROCCO, G. Passive UHF RFID antennas for sensing applications: Principles, methods and classifications. IEEE Antennas and Propagation Magazine, 2013, vol. 55, no. 6, p. 14–34. DOI: 10.1109/map.2013.6781700
  13. CAIZZONE, S., DI GIAMPAOLO, E., MARROCCO, G. Constrained pole-zero synthesis of phase-oriented RFID sensor antennas. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 2, p. 496–503. DOI: 10.1109/tap.2015.2511788
  14. KRACEK, J., SVANDA, M., MAZANEK, M., MACHAC, J. Implantable semi-active UHF RFID tag with inductive wireless power transfer. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, no. 1, p. 1657–1660. DOI: 10.1109/lawp.2016.2520663
  15. KVICERA, M., PECHAC, P. Seasonal variations of polarization diversity gain in a vegetated area considering high elevation angles and a nomadic user. International Journal of Antennas and Propagation, 2015, Article ID 194626, p. 1–9. DOI: 10.1155/2015/194626
  16. SAUNDERS, S. R. Antennas and Propagation for Wireless Communication Systems. 2nd ed. Wiley, 2007, p. 407. ISBN-13: 978-0470848791

Keywords: Patch antenna, radiofrequency identification, space diversity

N. Tayem, K. Majeed, A. A. Hussain [references] [full-text] [DOI: 10.13164/re.2018.0770] [Download Citations]
Propagator Method using PARAFAC Model for Two Dimensional Source Localization

In this paper, we addressed the problem of estimating the two-dimensional (2D) Direction of Arrival (DOA) elevation and azimuth angles for multiple sources. The proposed method employs Propagator Method (PM) in conjunction with parallel factor (PARAFAC) model using a new antenna array configuration. The proposed method overcomes two main drawbacks in the existing 2D DOA schemes: use of high computation eigenvalue decomposition (EVD) or singular value decomposition (SVD), and complex pair-matching methods for elevation and azimuth angles in case of multiple sources. Therefore, significant reduction in computational load and complexity are achieved. Computer simulations demonstrate the effectiveness of the proposed method.

  1. SCHMIDT, R. O. Multiple emitter location and signal parameter estimation. IEEE Transactions on Antennas and Propagation, 1986, vol. 34, no. 3, p. 276–280. DOI: 10.1109/TAP.1986.1143830
  2. ROY, R., KAILATH, T. ESPRIT-estimation of signal parameters via rotational invariance techniques. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1989, vol. 37, no. 7, p. 984–995. DOI: 10.1109/29.32276
  3. FERNANDEZ DEL RIO, J. E., CATEDRA-PEREZ, M. F. The matrix pencil method for two-dimensional direction of arrival estimation employing an L-shaped array. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 11, p. 1693–1694. DOI: 10.1109/8.650082
  4. CHEN, F. J., KWONG, S., KOK, C. W. ESPRIT-like twodimensional DOA estimation for coherent signals. IEEE Transactions on Aerospace and Electronics Systems, 2010, vol. 46, no. 3, p. 1477–1484. DOI: 10.1109/TAES.2010.5545202
  5. MARCOS, S., MARSAL, A., BENIDIR, M. The propagator method for source bearing estimation. Signal Processing, 1995, vol. 42, no. 2, p. 121–138. DOI: 10.1016/0165-1684(94)00122-G
  6. TAYEM, N., KWON, H. M. L-shape 2-dimensional arrival angle estimation with propagator method. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 5, p. 1622–1630. DOI: 10.1109/TAP.2005.846804
  7. WU, Y., LIAO, G., SO, H. C. A fast algorithm for 2-D directionof-arrival estimation. Signal Processing, 2003, vol. 83, no. 8, p. 1827–1831. DOI: 10.1016/S0165-1684(03)00118-X
  8. DONG, Y. Y., DONG, C. X., XU, J., et al. Computationally efficient 2-D DOA estimation for L-shaped array with automatic pairing. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, no. 99, p.1669–1672. DOI: 10.1109/LAWP.2016.2521785
  9. TAYEM, N., KWON, H. M. Azimuth and elevation angle estimation with no failure and no eigen decomposition. Signal Processing, 2006, vol. 86, no. 1, p. 8–16. 10.1016/j.sigpro.2005.05.001
  10. JIANG JIA-JIA, DUAN FA-JIE, D., WANG XIAN-QUAN. A universal two-dimensional direction of arrival estimation method without parameter match. IEEE Sensors Journal, 2016, vol. 16, no. 9, p. 3141–3146. DOI: 10.1109/JSEN.2016.2526686
  11. LIANG, J. Joint azimuth and elevation direction finding using cumulant. IEEE Sensors Journal, April 2009, vol. 9, no. 4, p. 390 to 398. DOI: 10.1109/JSEN.2009.2014416
  12. ZHANG, X. F., LI, J. F., XU, L. G. Novel two-dimensional DOA estimation with L-shaped array. EURASIP Journal on Advances in Signal Processing, 2011, vol. 50, p. 1–7. DOI: 10.1186/1687-6180- 2011-50
  13. TAYEM, N., MAJEED, K., HUSSAIN, A. A. Two-dimensional DOA estimation using cross-correlation matrix with L-shaped array. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 1077–1080. DOI: 10.1109/LAWP.2015.2493099
  14. HARSHMAN, R. A. Foundation of the PARAFAC procedure: Model and conditions for an explanatory multi-mode factor analysis. UCLA Working Papers in Phonetics, 1970, vol. 16, p. 1-84.
  15. LIU, D., LIANG, J. L-shaped array-based 2-D DOA estimation using parallel factor analysis. In Proceedings of the 8th World Congress on Intelligent Control and Automation. Jinan (China), 2010, p. 6949–6952. DOI: 10.1109/WCICA.2010.5554320
  16. WAN, L., SI, W., LIU, L., et al. High accuracy 2D-DOA estimation for conformal array using PARAFAC. International Journal of Antennas and Propagation, 2014, article ID 394707, p. 1–14. DOI: 10.1155/2014/394707
  17. BRO, R., SIDIROPOULOS, N. D., GIANNAKIS, G. B. Optimal joint azimuth elevation and signal-array response estimation using parallel factor analysis. In Proceedings of the 32nd Asilomar Conference Signals, System, and Computer. 1998, p. 1594–1598. ISBN-10: 0780351487
  18. SIDIROPOULOS, N. D. COMFAC: Matlab Code for LS Fitting of the Complex PARAFAC Model in 3-D, 1998. Available at: http://www.telecom.tuc.gr/ nikos
  19. LIANG, J., LIU, D. Joint elevation and azimuth direction finding using L-shaped array. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 6, p. 2136–2141. DOI: 10.1109/TAP.2010.2046838
  20. CHEN, H., HOU, C. P., WANG, Q., et al. Cumulants-based Toeplitz matrices reconstruction method for 2-D coherent DOA estimation. IEEE Sensors Journal, 2014, vol. 14, no. 8, p. 2824 to 2832. DOI: 10.1109/JSEN.2014.2316798

Keywords: Uniform linear arrays, two-dimensional (2D), Direction-of-Arrival (DOA), non-coherent sources, PARAFAC model.

M. A. Riaz, Y. Abdullah, H. Shahid, Y. Amin, A. Akram, H. Tenhunen [references] [full-text] [DOI: 10.13164/re.2018.0776] [Download Citations]
Novel Butterfly Slot Based Chipless RFID Tag

A compact chipless RFID tag with robust readable features is presented in this paper. The tag is made up of novel concentric butterfly slot resonators. Bit data is encoded in the frequency signature of the tag. Each slot corresponds to a resonance peak representing a bit ‘1’, whereas an absence of the peak signifies a bit ‘0’. Proposed resonator design demonstrates insensitivity to different polarization and incident angles of the linearly polarized impinging electromagnetic wave. The tag operates in the frequency band of 4.7-9.7 GHz, limited within the license-free ultra wide-band. Rogers RT/duroid (R) 5880 substrate is used to realize a 10-bit capacity design that spans 14 times 14 sq. mm resulting in a bit density of 5.1 bits/sq. cm.

  1. FINKENZELLER, K. RFID Handbook: Fundamentals and Applications in Contactless Smart Cards, Radio Frequency Identification and near Field Communication. 3rd ed., rev. New York (USA): Wiley, 2010. ISBN: 9780470665121
  2. KHAN, U. H., ASLAM, B., KHAN, J., et al. A novel asterisk-shaped circularly polarized RFID tag for on-metal applications. Applied Computational Electromagnetic Society Journal, 2016, vol. 31, no. 9, p. 1035–1042. ISSN: 1054-4887
  3. DEY, S., SAHA, J. K., KARMAKAR, N. C. Smart sensing: Chipless RFID solutions for the internet of everything. IEEE Microwave Magazine, 2015, vol. 16, no. 10, p. 26–39. DOI: 10.1109/MMM.2015.2465711
  4. KARMAKER, N. C. Tag, You’re it radar cross section of chipless RFID tags. IEEE Microwave Magazine, 2016, vol. 17, no. 7, p. 64–74. DOI: 10.1109/MMM.2016.2549160
  5. KHAN, U. H., RASHEED, H., ASLAM, B., et al. Localization of compact circularly polarized RFID tag using ToA technique. Radioengineering, 2017, vol. 26, no. 1, p. 147–153. DOI: 10.13164/re.2017.0147
  6. PRERADOVIC, S., KARMAKAR, N. C. Chipless RFID: Bar code of the future. IEEE Microwave Magazine, 2010, vol. 11, no. 7, p. 87–97. DOI: 10.1109/MMM.2010.938571
  7. PRERADOVIC, S., KARMAKAR, N. C. Multiresonator-Based Chipless RFID. 1st ed., rev. New York (USA): Springer, 2012. ISBN: 9781461420958
  8. PERRET, E. Radio Frequency Identification and Sensors: From RFID to Chipless RFID. New York (USA): Wiley, 2014. ISBN: 9781119054016
  9. REZAIESARLAK, R., MANTEGHI, M. Chipless RFID. Switzerland: Springer, 2015. ISBN: 9783319101699
  10. KARMAKAR, N. C., ZOMORRODI, M., DIVARATHNE, C. Advanced Chipless RFID. Hoboken (USA): Wiley, 2016. ISBN: 9781119-227311
  11. COSTA, F., GENOVESI, S., MONORCHIO, A. A Chipless RFID based on multiresonant high-impedance surfaces. IEEE Transactions on Microwave Theory and Techniques, 2013, vol. 61, no. 1, p. 146–153. DOI: 10.1109/TMTT.2012.2227777
  12. PLESSKY, V. P., REINDL, L. M. Review on SAW RFID tags. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 2010, vol. 57, no. 3, p. 654–668. DOI: 10.1109/tuffc.2010.1462
  13. VENA, A., PERRET, E., TEDJINI, S. Design rules for chipless RFID tags based on multiple scatterers. Annals of Telecommunications, 2013, vol. 68, no. 7, p. 361–374. DOI: 10.1007/s12243-013-0358-7
  14. ZHONG, Y. Y., CHEN, Z. J., WANG, X. C., et al. Anti-collision SAW tags based on CIDT. In Proceedings of the Symposium on Piezoelectricity, Acoustic Waves, and Device Applications (SPAWDA). Jinan (China), 2015, p. 137–142. DOI: 10.1109/SPAWDA.2015.7364458
  15. RIAZ, M. A., SHAHID, H., ASLAM, S. Z., et al. Novel T-shaped resonator based chipless RFID tag. IEICE Electronics Express, 2017, vol. 14, no. 18, p. 20170728–20170728. DOI: 10.1587/elex.14.20170728
  16. CHAMARTI, A., VARAHRAMYAN, K. Transmission delay line based ID generation circuit for RFID applications. IEEE Microwave and Wireless Components Letters, 2006, vol. 16, no. 11, p. 588–590. DOI: 10.1109/LMWC.2006.884897
  17. SCHUBLER, M., MANDEL, C., MAASCH, M., et al. Phase modulation scheme for chipless RFID-and wireless sensor tags. In Proceedings of the Asia Pacific Microwave Conference. Singapore, 2009, p. 229–232. DOI: 10.1109/APMC.2009.5385319
  18. ZHENG, L., RODRIGUEZ, S., ZHANG, L., et al. Design and implementation of a fully reconfigurable chipless RFID tag using Inkjet printing technology. In Proceedings of the IEEE International Symposium on Circuits and Systems. Seattle (USA), 2008, p. 1524–1527. DOI: 10.1109/ISCAS.2008.4541720
  19. SUMI, M., DINESH, R., NIJAS, C. M., et al. Frequency coded chipless RFID tag using spurline resonators. Radioengineering, 2014, vol. 23, no. 1, p. 203–208. ISSN: 1805-9600
  20. RAUF, S., RIAZ, M. A., SHAHID, H., et al. Triangular loop resonator based compact chipless RFID tag. IEICE Electronics Express, 2017, vol. 14, no. 4, p. 20161262–20161262. DOI: 10.1587/elex.14.20161262
  21. MACHAC, J., POLIVKA, M. Influence of mutual coupling on performance of small scatterers for chipless RFID tags. In Proceedings of the 24th International Conference Radioelektronika. Bratislava (Slovakia), 2014, p. 1–4. DOI: 10.1109/Radioelek.2014.6828412
  22. KHAN, M. M., TAHIR, F. A., CHEEMA, H. M. Frequency band utilization enhancement for chipless RFID tag through place value encoding. In Proceedings of the IEEE International Symposium on Antennas and Propagation (APSURSI). Fajardo (Puerto Rico), 2016, p. 1477–1478. DOI: 10.1109/APS.2016.7696445
  23. ISLAM, M. A., KARMAKAR, N. A compact printable dualpolarized chipless RFID tag using slot length variation in ‘I’ slot resonators. In Proceedings of the European Microwave Conference (EuMC). Paris (France), 2015, p. 96–99. DOI: 10.1109/EuMC.2015.7345708
  24. IQBAL, M. S., SHAHID, H., RIAZ, M. A., et al. FSS inspired polarization insensitive chipless RFID tag. IEICE Electronics Express, 2017, vol. 14, no. 10, p. 1–6. DOI: 10.1587/elex.14.20170243
  25. VENA, A., PERRET, E., TEDJINI, S. Chipless RFID tag using hybrid coding technique. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 12, p. 3356–3364. DOI: 10.1109/TMTT.2011.2171001
  26. MARTINEZ, M., VAN DER WEIDE, D. Compact slot-based chipless RFID tag. In Proceedings of the IEEE RFID Technology and Applications Conference (RFID-TA). Tampere, (Finland), 2014, p. 233–236. DOI: 10.1109/RFID-TA.2014.6934234

Keywords: radio frequency identification (RFID), chipless tag, electromagnetic signature, Radar Cross-Section (RCS)

T. Napravnik, J. Jakovenko [references] [full-text] [DOI: 10.13164/re.2018.0784] [Download Citations]
An Automated ESD Model Characterization Method

Novel automated simulator-independent ESD model characterization method based on Differential evolution and Nelder-Mead Simplex algorithms is presented in this paper. It offers an alternative for time and human-resources demanding manual characterization that is still widely used. The paper also presents stable models of the four most often used snapback-based protection devices in CMOS technologies, i.e., NMOST and three variants of silicon-controlled rectifier structure. These models were used for evaluation of the proposed method and the results are included and discussed.

  1. ALLEN, K. How ESD damage affects OEMs and what they can do to mitigate the damage. PC/104 Embedded Solutions, Guest Editorial, 2002
  2. DI SARRO, J., ROSENBAUM, E. A scalable SCR compact model for ESD circuit simulation. IEEE Transactions on Electron Devices, 2010, vol. 57, no. 12, p. 3275–3286. DOI: 10.1109/TED.2010.2081674
  3. RAMANUJAN, A., KADI, M., TREMENBERT, J., et al. Modeling IC snapback characteristics under electrostatic discharge stress. IEEE Transactions on Electromagnetic Compatibility, 2009, vol. 51, no. 4, p. 901–908. DOI: 10.1109/TEMC.2009.2029092
  4. ROMANESCU, A., FERRARI, P., ARNOULD, J.-D., et al. Modeling a SCR-based protection structure for RF-ESD co-design simulations. In Proceedings of the IEEE International Microwave Symposium Digest (MTT). Baltimore, (USA), 2011, p. 1–4. DOI: 10.1109/MWSYM.2011.5972792
  5. THOMSON, N., JACK, N., ROSENBAUM, E. Exponential-edge transmission line pulsing for snap-back device characterization. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS). Anaheim (USA), 2012, p. 3E.2.1–3E.2.6. DOI: 10.1109/IRPS.2012.6241820
  6. MENG, K.-H., ROSENBAUM, E. The need for transient I-V measurement of device ESD response. In Proceedings of the 34th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD). Tucson (USA), 2012, p. 1–8.
  7. FUKUDA, Y., YAMADA, T., SAWADA, M. ESD parameter extraction by TLP measurement. In Proceedings of the 31st EOS/ESD Symposium. Anaheim (USA), 2009, p. 1–6.
  8. ZISKA, P., MARTINEK, P. Differential evolution algorithm and its application for pole-zero transfer function identification. In MATLAB 2004 - Proceedings of 12th International Conference, 2004
  9. OLIVERI, G., ROCCA, P., MASSA, A. Differential evolution as applied to electromagnetics: Advances, comparisons, and applications. In Proceedings of the 6th European Conference on Antennas and Propagation (EUCAP). Prague (Czech Republic), 2012, p. 3058–3059. DOI:10.1109/EuCAP.2012.6206056
  10. WANG, Y., WU, L., YUAN, X. Parameter estimation of disk drive servo system using a hybrid simplex differential evolution algorithm. In Proceedings of the 8th World Congress on Intelligent Control and Automation (WCICA). Jinan (China), 2010, p. 3149 –3155. DOI: 10.1109/WCICA.2010.5553769
  11. STORN, R., PRICE, K. Differential evolution – a simple and efficient heuristic for global optimization over continuous spaces. Journal of Global Optimization, 1997, vol. 11, no. 4, p. 341–359, DOI: 10.1023/A:1008202821328
  12. NELDER, J. A., MEAD, R. A simplex method for function minimization. The Computer Journal, 1965, vol. 7, no. 4, p. 308–313, DOI: 10.1093/comjnl/7.4.308
  13. MALONEY, T., KHURANA, N. Transmission line pulsing techniques for circuit modeling of ESD phenomena. In Proceedings of the EOS/ESD Symposium, 1985, p. 49–54
  14. BEEBE, S. G. Characterization, Modeling, and Design of ESD Protection Circuits. Advanced Micro Devices, Sunnyvale, California, Tech. Rep., 1998
  15. GRUND, E., HERNANDEZ, M. Obtaining TLP-like information from an HBM simulator. In Proceedings of the 29th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD). Anaheim (USA), 2007, p. 2A.3–1–2A.3–7. DOI: 10.1109/EOSESD.2007.4401737
  16. ZHOU, Y., CONNERNEY, D., CARROLL, R., et al. Modeling MOS snapback for circuit-level ESD simulation using BSIM3 and VBIC models. In Proceedings of the 6th International Symposium on Quality Electronic Design (ISQED). San Jose (USA), 2005, p. 476–481, DOI: 10.1109/ISQED.2005.81
  17. AMERASEKERA, A., CHANG, M.-C., DUVVURY, C., et al. Modeling MOS snapback and parasitic bipolar action for circuit-level ESD and high-current simulations. IEEE Circuits and Devices Magazine, 1997, vol. 13, no. 2, p. 7–10. DOI: 10.1109/101.583606
  18. OH, K.-H. Investigation of ESD Performance in Advanced CMOS Technology. Ph.D. Thesis, Department of Electrical Engineering of Stanford University, 2002
  19. LOU, L., LIOU, J. J., DONG, S., et al. Silicon controlled rectifier (SCR) compact modeling based on VBIC and Gummel-Poon models. Solid-State Electronics, 2009, vol. 53, no. 2, p. 195–203. DOI: 10.1016/j.sse.2008.11.007
  20. LIM, S. L., ZHANG, X. Y., YU, Z., et al. A computationally stable quasi-empirical compact model for the simulation of mos breakdown in ESD-protection circuit design. In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). Cambbridge (USA), 1997, p. 161–164. DOI: 10.1109/SISPAD.1997.621362
  21. ZHOU, Y., HAJJAR, J.-J., LISIAK, K. Compact modeling of on-chip ESD protection using standard MOS and BJT models. In Proceedings of the 8th International Conference on Solid-State and Integrated Circuit Technology ICSICT. Shanghai (China), 2006, p. 1202–1205. DOI: 10.1109/ICSICT.2006.306097

Keywords: ESD, automated model calibration, differential evolution, Nelder-Mead simplex, I-V characteristic

V. Kote, P. Vacula, V. Molata, O. Vesely, O. Tlaskal, D. Barri, J. Jakovenko, M. Husak [references] [full-text] [DOI: 10.13164/re.2018.0796] [Download Citations]
A True Random Number Generator with Time Multiplexed Sources of Randomness

A true random number generator (TRNG) with time multiplexed metastability-based sources of randomness, presented in this paper, is capable of generating random bit sequences formed from noise present in the electronic circuit. An incorporated time multiplexer interleaves digitized random signals coming from sources of randomness and increases output data rate. The proposed TRNGwas fabricated in a STMicroelectronics 130 nm bulk CMOS technology on an area of 0.029mm2. The quality of all random bit sequences has been verified by the FIPS and NIST statistical test suites. The fabricated TRNG generates random bit sequences up to the data rate of 20 Mb/s without any corrective mechanisms at power consumption of 72.48 uW. The changing environmental conditions do not influence the quality of random bit sequences.

  1. MENEZES, A. J., VAN OORSCHOT, P. C., VANSTONE, S. A. Handbook of Applied Cryptography. Boca Raton (USA): CRC Press, 1996. ISBN: 0849385237
  2. SCHINDLER, W., KILLMANN, W. Evaluation criteria for true (physical) random number generators used in cryptographic applications. In Proceedings of the nternational Workshop on Cryptographic Hardware and Embedded Systems (CHES). Berlin, Heidelberg (Germany), 2003, p. 431–449. DOI: 10.1007/3-540-36400-5_31
  3. TANG, X., WU, Z.-M., WU, J.-G., et al. Tbits/s physical random bit generation based on mutually coupled semiconductor laser chaotic entropy source. Optics Express, 2015, vol. 23, no. 26, p. 33130–33141. DOI: 10.1364/OE.23.033130
  4. SUGIURA, T., YAMANASHI, Y., YOSHIKAWA, N. Demonstration of 30 Gbit/s generation of superconductive true random number generator. IEEE Transactions on Applied Superconductivity, 2011, vol. 21, no. 3, p. 843–846. DOI: 10.1109/TASC.2010.2092401
  5. ALKASSAR, A., NICOLAY, T., ROHE, M. Obtaining truerandom binary numbers from a weak radioactive source. In Proceedings of the International Conference on Computational Science and Its Applications (ICCSA). Singapore, 2005, p. 634–646. DOI: 10.1007/11424826_67
  6. OOSAWA, S., KONISHI, T., ONIZAWA, N., HANYU, T. Design of an STT-MTJ based true random number generator using digitally controlled probability-locked loop. In Proceedings of the IEEE 13th International New Circuits and Systems Conference (NEWCAS). Grenoble (France), 2015, p. 1–4. DOI: 10.1109/NEWCAS.2015.7182089
  7. HOLMAN,W. T., CONNELLY, J. A., DOWLATABADI, A. B. An integrated analog/digital random noise source. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 1997, vol. 44, no. 6, p. 521–528. DOI: 10.1109/81.586025
  8. YANG, K., FICK, D., HENRY, M. B., et al. 16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). San Francisco (USA), 2014, p. 280–281. DOI: 10.1109/ISSCC.2014.6757434
  9. TANG, Q., KIM, B., LAO, Y., et al. True random number generator circuits based on single- and multi-phase beat frequency detection. In Proceedings of the IEEE Custom Integrated Circuits Conference. San Jose (USA), 2014, p. 1–4. DOI: 10.1109/CICC.2014.6946136
  10. TOKUNAGA, C., BLAAUW, D., MUDGE, T. True random number generator with a metastability-based quality control. IEEE Journal of Solid-State Circuits, 2008, vol. 43, no. 1, p. 78–85. DOI: 10.1109/JSSC.2007.910965
  11. SRINIVASAN, S., MATHEW, S., RAMANARAYANAN, R., et al. 2.4GHz 7mW all-digital PVT-variation tolerant true random number generator in 45nm CMOS. In Proceedings of the Symposium on VLSI Circuits. Honolulu (USA), 2010, p. 203–204. DOI: 10.1109/VLSIC.2010.5560296
  12. PETRIE, C. S., CONNELLY, J. A. A noise-based IC random number generator for applications in cryptography. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 2000, vol. 47, no. 5, p. 615–621. DOI: 10.1109/81.84786
  13. BUCCI, M., GERMANI, L., LUZZI, R., et al. A high-speed oscillator-based truly random number source for cryptographic applications on a smart card IC. IEEE Transactions on Computers, 2003, vol. 52, no. 4, p. 403–409. DOI: 10.1109/TC.2003.1190581
  14. CICEK, I., PUSANE, A. E., DUNDAR, G. A novel design method for discrete time chaos based true random number generators. Integration, the VLSI Journal, 2014, vol. 47, no. 1, p. 38–47. DOI: 10.1016/j.vlsi.2013.06.003
  15. KOYUNCU, I., OZCERIT, A. T. The design and realization of a new high speed FPGA-based chaotic true random number generator. Computers & Electrical Engineering, 2017, vol. 58, p. 203–214. DOI: 10.1016/j.compeleceng.2016.07.005
  16. SURESH, V. B., BURLESON, W. P. Robust metastability-based TRNG design in nanometer CMOS with sub-vdd pre-charge and hybrid self-calibration. In Proceedings of the Thirteenth International Symposium on Quality Electronic Design (ISQED). Santa Clara (USA), 2012, p. 298–305. DOI: 10.1109/ISQED.2012.6187509
  17. KINNIMENT, D. J., CHESTER, E. G. Design of an on-chip random number generator using metastability. In Proceedings of the 28th European Solid-State Circuits Conference. Florence (Italy), 2002, p. 595–598.
  18. Federal Information Processing Standards, National Institute of Standards and Technology. Security Requirements for Cryptographic Modules. 2001. NIST FIPS PUB 140- 2. 69 pages. [Online] Cited 2018-01-11. Available at: http://csrc.nist.gov/publications/fips/fips140-2/fips1402.pdf
  19. RUKHIN, A., et al. A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications. 2010. National Institute of Standards and Technology. Rev 1a. 131 pages. [Online] Cited 2018-01-11. Available at: http://nvlpubs.nist.gov/nistpubs/Legacy/SP/nistspecialpublication800- 22r1a.pdf
  20. RAZAVI, B. Design of Analog CMOS Integrated Circuits. New York (USA): McGraw-Hill, 2001. ISBN: 0071188150
  21. HASTINGS, A. The Art of Analog Layout. Upper Saddle River (USA): Prentice-Hall, 2001. ISBN: 0130870617
  22. SANSEN, W. M. C. Analog Design Essentials. Boston (USA): Springer, 2006. ISBN: 9780387257464
  23. Mentor Graphics Corporation. Eldo User’s Manual. Wilsonville (USA), 2006.
  24. PINCUS, S., SINGER, B. H. Randomness and degrees of irregularity. In Proceedings of the National Academy of Sciences of the United States of America, 1996, vol. 93, no. 5, p. 2083–2088.

Keywords: True random number generator (TRNG), source of randomness, time multiplexer, integrated circuit (IC), statistical test

V. Piorecka, V. Krajca, F. Tyls, T. Palenicek [references] [full-text] [DOI: 10.13164/re.2018.0806] [Download Citations]
Methods for Animal Brain Mapping

Measurements of brain electrical activity in animals are essential for the validation of the pharmaco-effect of drugs. The way to evaluate these recordings should be comparable to that of EEG in humans. Methods that visualize the results of the measured EEG recording include brain mapping in two-dimensional or three-dimensional space. The most commonly used methods of interpolation techniques in humans are spherical splines and 3D splines. We measured nine brains of Wistar rats and compared them with a brain model from the atlas (Brain Atlas Reconstructor, BAR). We validated the brain model of Wistar rat for future use. We implemented a module in MATLAB 2015a for brain mapping, specifically, we implemented algorithms for spherical and 3D spline mapping. The root mean square error of the spherical spline method is 0.5943 in the case of testing signal and 0.6291/0.6388 in the case of real data estimation. The root mean square error of the 3D spline method is 0.4334 in the case of testing signal and 0.0849/0.0768 in the case of real data estimation. Our results show that the 3D spline method with the projection on sphere gives significantly better 3D potential map than spherical splines.

  1. DRINKENBURG, W. H. I. M. Encyclopedia of Psychopharmacology. 2nd ed. Berlin: Springer, 2015. ISBN: 978-3-642-27772-6
  2. PALENICEK, T., FUJAKOVA, M., BRUNOVSKY, M., et al. Behavioral, neurochemical and pharmaco-EEG profiles of the psychedelic drug 4-bromo-2,5-dimethoxyphenethylamine (2C-B) in rats. Psychopharmacology, 2013, vol. 225, no. 1, p. 75–93. DOI: 10.1007/s00213-012-2797-7
  3. FUJAKOVA, M., PALENICEK, T., BRUNOVSKY, M., et al. The effect of ((–)-2-oxa-4-aminobicyclo[3.1.0]hexane-2,6-dicarboxylic acid (LY379268), an mGlu2/3 receptor agonist, on EEG power spectra and coherence in ketamine model of psychosis. Pharmacology Biochemistry and Behavior, 2014, vol. 122, p. 212–221. DOI: 10.1016/j.pbb.2014.03.001
  4. CAVELLI, M., CASTRO, S., SCHWARZKOPF, N., et al. Coherent neocortical gamma oscillations decrease during REM sleep in the rat. Behavioural Brain Research, 2015, vol. 281, p. 318–325. DOI: 10.1016/j.bbr.2014.12.050
  5. CAMBIAGHI, M., MAGRI, L., CURSI, M. Importance of EEG in validating the chronic effects of drugs: Suggestions from animal models of epilepsy treated with rapamycin. Seizure, 2015, vol. 27, p. 30–39. DOI: 10.1016/j.seizure.2015.02.015
  6. BAE, J., DESHMUKH, A., SONG Y., RIERA, J. Brain source imaging in preclinical rat models of focal epilepsy using high-resolution EEG recordings. Journal of Visualized Experiments, 2015, vol. 100, p. 1–12. DOI: 10.3791/52700
  7. SANEI S., CHAMBERS J. A. EEG Signal Processing. 1st ed. Southern Gate, Chichester (England): John Wiley & Sons, 2007. ISBN: 978-0-470-02581-9
  8. COHEN, M. X. Analyzing Neural Time Series Data: Theory and Practice. The MIT Press, 2014. ISBN: 0262019876
  9. PRICHEP, L. S., JOHN, E. R., FERRIS, S. H., et al. Quantitative EEG correlates of cognitive deterioration in the elderly. Neurobiology of Aging, 1994, vol. 15, no. 1, p. 85–90. DOI: 10.1016/0197- 4580(94)90147-3
  10. APOSTOLOVA, L. G., THOMSPON, P. M. Brain mapping as a tool to study neurodegeneration. Neurotherapeutics, 2007, vol. 4, p. 387–400. DOI: 10.1016/j.nurt.2007.05.009
  11. STEVENS, A., KIRCHER, T. Cognitive decline unlike normal aging is associated with alterations of EEG temporo-spatial characteristics. European Archives of Psychiatry and Clinical Neuroscience, 1998, vol. 248, no. 5, p. 259–266. DOI: 10.1007/s004060050047
  12. ANDREOU, CH., FABER, P. L., LEICHT, G., et al. Resting-state connectivity in the prodromal phase of schizophrenia: Insights from EEG microstates. Schizophrenia Research, 2014, vol. 152, no. 2–3, p. 513–520. DOI: 10.1016/j.schres.2013.12.008
  13. STRIK, W. K., CHIARAMONTI, R., MUSCAS, G. C., et al. Decreased EEG microstate duration and anteriorisation of the brain electrical fields in mild and moderate dementia of the Alzheimer type. Psychiatry Research: Neuroimaging, 1997, vol. 75, no. 3, p. 183–191. DOI: 10.1016/S0925-4927(97)00054-1
  14. SOUFFLET, L., TOUSSAINT, M., LUTHRINGER, R., et al. A statistical evaluation of the main interpolation methods applied to 3-dimensional EEG mapping. Electroencephalography and Clinical Neurophysiology, 1991, vol. 79, no. 5, p. 393–402. DOI: 10.1016/0013-4694(91)90204-H
  15. MIHALIK, J. Modeling of human head surface by using triangular B-splines. Radioengineering, 2010, vol. 19, no. 1, p. 39–45. DOI: 10.13164/re
  16. PERRIN, F., PERNIER, J., BERTRAND, O., et al. Mapping of scalp potentials by surface spline interpolation. Electroencephalography and Clinical Neurophysiology, 1987, vol. 66, no. 1, p. 75–81. DOI: 10.1016/0013-4694(87)90141-6
  17. PERRIN, F., PERNIER, J., BERTRAND, O., ECHALLIER, J. F. Spherical splines for scalp potential and current density mapping. Electroencephalography and Clinical Neurophysiology, 1989, vol. 72, no. 2, p. 184–187. DOI: 10.1016/0013-4694(89)90180-6
  18. NOUIRA, I., ABDALLAH, A. B., BEDOU, M. H. EEG potential mapping by 3D interpolation methods. In Proceedings of the International Conference on Multimedia Computing and Systems (ICMCS). Marrakech (Morocco), 2014, p. 469–474. DOI: 10.1109/ICMCS.2014.6911297
  19. NOUIRA, I., ABDALLAH, A. B., BEDOU, M. H. Three-dimensional interpolation methods to spatiotemporal EEG mapping during various behavioral states. Signal, Image and Video Processing, 2016, vol. 10, no. 5, p. 943–949. DOI: 10.1007/s11760-015-0844-7
  20. FERREE, T. C., Electrical Geodesics, Inc. Spline Interpolation of the Scalp EEG. Technical Note, 6 pages, [Online] Cited 2017-10-12. Avaible at: https://www.egi.com/images/kb/SplineInterpolation.pdf
  21. MAJKA, P., KOWALSKI, J. M., CHLODZINSKA, N., WOJCIK, D. 3D brain atlas reconstructor service online repository of threedimensional models of brain structures. Neuroinformatics, 2013, vol. 11, no. 4, p. 507–518. DOI: 10.1007/s12021-013-9199-9
  22. CALABRESE, E., BADEA, A., WATSON, C., et al. A quantitative magnetic resonance histology atlas of postnatal rat brain development with regional estimates of growth and variability. NeuroImage, 2013, vol. 71, p. 196–206. DOI: 10.1016/j.neuroimage.2013.01.017
  23. ABDOUN, O., JOUCLA, S., MAZZOCCO, C., YVERT, B. NeuroMap: A spline-based interactive open-source software for spatiotemporal mapping of 2D and 3D MEA data. Frontiers in Neuroinformatics, 2011, vol. 4, p. 1–9. DOI: 10.3389/fninf.2010.00119
  24. LAW, S. K., NUNEZ, P. L., WIJESINGHE, R. S. High-resolution EEG using spline generated surface Laplacians on spherical and ellipsoidal surfaces. IEEE Transactions on Bio-Medical Engineering, 1993, vol. 40, no. 2, p. 145–153. DOI: 10.1109/10.212068
  25. WELCH, P. The use of fast Fourier transform for the estimation of power spectra: A method based on time averaging over short, modified periodograms. IEEE Transactions on Audio and Electroacoustics, 1967, vol. 15, no. 2, p. 70–73. DOI: 10.1109/TAU.1967.1161901

Keywords: Animal EEG, brain mapping, 3D spline, spherical spline

X. Xia, Z. Tang, J. Wei, Z. Zhou [references] [full-text] [DOI: 10.13164/re.2018.0813] [Download Citations]
Spectrally Efficient Constant Envelope Modulation for GNSS Signals

As the L-band spectral resources for GNSS services are almost exhausted, new bands such as S-band and C-band has been considered for new GNSS signals. In addition to the traditional signal features, out-of-band radiation is an important factor to be considered for signal designing in these new bands. In this paper, a mathematical model for the optimization of GNSS signal waveforms was constructed by integrating ranging accuracy, anti-jamming performance and out-of-band radiation suppression requirements. In combination with numerical optimization methods, a spectrally efficient constant envelope modulation scheme based on phase trajectory optimization was proposed. The modulated signal has a full-response continuous phase waveform, which facilitates signal processing and implementation at both ends of the transmission and reception. In addition, in the signal design, the balance between signal accuracy, anti-interference performance and out-of-band radiation suppression can be achieved through the adjustment of the weight coefficient. The simulation results show that the out-of-band radiation can be reduced by about 7.2-42.7 dB compared with the existing scheme when the signal performance difference is lower than 2 dB.

  1. IRSIGLER, M., HEIN, G. W., PEIFFER, A. S. Use of C-band frequencies for satellite navigation: benefits and drawbacks. GPS Solutions, 2004, vol. 8, no. 3, p. 119–139. DOI: 10.1007/s10291- 004-0098-2
  2. XUE, R., CAO, Q. M., WEI, Q. A flexible modulation scheme design for C-band GNSS signals. Mathematical Problems in Engineering, 2015, article ID 165097, p. 1–8. DOI: 10.1155/2015/165097
  3. HUANG, X., ZHU, X., OU, G. Constant-envelope dual QPSK-like modulation and its generalised form for modern GNSS signals. Electronics Letters, 2015, vol. 51, no. 2, p. 175–177. DOI: 10.1049/EL.2014.3487
  4. BETZ, J. W. Binary offset carrier modulations for radionavigation. Navigation: Journal of The Institute of Navigation, 2001, vol. 48, no. 4, p. 227–246. DOI: 10.1002/J.2161-4296.2001.TB00247.X
  5. LAURENT, L., ARTAUD, G., ISSLER, J. AltBOC for dummies or everything you always wanted to know about AltBOC. In Proceedings of the 21st International Technical Meeting of the Satellite Division of The Institute of Navigation (ION GNSS 2008). Savannah (USA), Sep. 2008, p. 961–970.
  6. AVILA-RODRIGUEZ, J. A., WALLNER, S., WON, J. H., et al. Study on a Galileo signal and service plan for c-band. In Proceedings of the 21st International Technical Meeting of the Satellite Division of The Institute of Navigation (ION GNSS 2008). Savannah (USA), Sep. 2008, p. 2515–2529.
  7. LIU, M., ZHAN, X., LI, W., JING, S., CHEN, M. An improved MSK-BCS modulation for global navigation satellite systems in C band. IEEJ Transactions on Electrical and Electronic Engineering, 2016, vol. 11, no. 4, p. 474–479. DOI: 10.1002/tee.22264
  8. XUE, R., SUN, Y., ZHAO, D. CPM signals for satellite navigation in the S and C bands. Sensors, 2015, vol. 15, no. 6, p. 13184 to 13200. DOI: 10.3390/s150613184

Keywords: Spectrally efficient, constant envelope, GNSS, low out-of-band radiation

O. Zach, M. Slanina [references] [full-text] [DOI: 10.13164/re.2018.0819] [Download Citations]
Content Aware Segment Length Optimization for Adaptive Streaming over HTTP

HTTP adaptive streaming is a widely used method for delivering the video content to its final recipi ents. The visual quality of the streamed video content is being adaptively changed according to network conditions to offer the user a smooth playback, which is even more impor tant for mobile connection like LTE. In this paper, we focus on the encoding the video content and on the segmentation of the video to be used in DASH based service. We used long sequences with duration up to 2.5 hours to simulate a real life situation. We investigate the influence of the GOP length on the final DASH segment size and evaluate the per formance of AVC and HEVC when used in DASH. We used several fixed values of GOP length and one special case of scene change based GOP creation. Our results showed, that such an adaptive segmentation mode brings up to 11% bi- trate savings with preserving comparable quality and lower fluctuations in absolute size of the DASH segments.

  1. Cisco. The Zettabyte Era: Trends and Analysis. 32 pages. [Online] Cited 2017-09-12. Available at https://www.cisco.com/c/en/us/solutions/collateral/serviceprovider/visual-networking-index-vni/vni-hyperconnectivitywp.html
  2. POLAK, L., KALLER, O., KLOZAR, L., et al. Coexistence between DVB-T/ T2 and LTE standards in common frequency bands. Wireless Personal Communications, 2016, vol. 88, no. 3, p. 669–684. DOI:10.1007/s11277-016-3191-2
  3. HOßFELD, T., SEUFERT, M., HIRTH, M., et al. Quantification of YouTube QoE via crowdsourcing. In Proceedings of IEEE International Symposium on Multimedia. Dana Point (USA), 2011, p. 494–499. DOI: 10.1109/ISM.2011.87
  4. ITU-T Rec. H.264. Advanced Video Coding for Generic Audiovisual Services. ITU, Geneva (Switzerland), 2014.
  5. ITU-T Rec. H.265. High Efficiency Video Coding. ITU, Geneva (Switzerland), 2016.
  6. SULLIVAN, G, OHM, J., HAN, W. J., WIEGAND, T. Overview of the high efficiency video coding (HEVC) Standard. IEEE Transactions on Circuits and Systems for Video Technology, 2013, vol. 22, no. 12, p. 1649–1668. DOI: 10.1109/TCSVT.2012.2221191
  7. RAO, K. R., KIM, D. N., HWAN, J. J.Video Coding Standards. London (UK): Springer, 2013. ISBN 978-94-007-6741-6
  8. ZACH, O., SLANINA, M. A comparison of H.265/ HEVC Implementations. In Proceedings of ELMAR. Zagreb (Croatia), 2014, p. 143–146. DOI: 10.1109/ELMAR.2014.6923337
  9. Apple Inc. HTTP Live Streaming. [Online] Cited 2017-09-14. Available at https://developer.apple.com/streaming/
  10. Adobe Systems Inc. Adobe HTTP Dynamic Streaming Specification (Version 3.0). [Online] 2017-09-14. Available at https://wwwimages2.adobe.com/content/dam/Adobe/en/devnet/hds/ pdfs/adobe-hds-specification.pdf
  11. ZAMBELLI, A. IIS Smooth Streaming Technical Overview. [Online] 2017-10-29. Available at http://www.bogotobogo.com/VideoStreaming/Files/iis8/IIS _Smooth_Streaming_Technical_Overview.pdf
  12. International Standards Organization/International Electrotechnical Commission (ISO/IEC). 23009-1:2012 Information Technology: Dynamic Adaptive Streaming over HTTP (DASH) Part 1: Media Presentation Description and Segment Formats. 2012.
  13. SEUFERT, M., EGGER, S., SLANINA, M., et al. A survey on quality of experience of HTTP adaptive streaming. IEEE Communications Surveys & Tutorials, 2012, vol. 17, no. 1, p. 469–492. DOI:10.1109/COMST.2014.2360940
  14. OYMAN, O., SINGH, S. Quality of experience for HTTP adaptive streaming services. IEEE Communications Magazine, 2012, vol. 50, no. 4, p. 20–27. DOI: 10.1109/MCOM.2012.6178830
  15. MOK, P., CHAN, E. W. W., LUO, X., CHANG, R. K.C. Inferring the QoE of HTTP video streaming from user-viewing activities. In Proceedings of the first ACM SIGCOMM workshop on Measurements up the stack (W-MUST). New York (USA), 2011, p. 31-36. DOI: 10.1145/2018602.2018611
  16. HASSAN, Y. M., HELMY, A., REHAN, M. M. Effect of varying segment size on DASH streaming quality for mobile user. In Proceedings of the International Conference on Engineering and Technology (ICET). Cairo (Egypt), 2014, p. 1–4. DOI: 10.1109/ICEngTechnol.2014.7016825
  17. NGUYEN, D. M., TRAN, L. B., LE, H. T., et al. An evaluation of segment duration effects in HTTP adaptive streaming over mobile networks. In Proceedings of the 2nd National Foundation for Science and Technology Development Conference on Information and Computer Science (NICS). Ho Chi Minh (Vietnam), 2015, p. 248–253. DOI: 10.1109/NICS.2015.7302201
  18. MYONGWOO, K., JIWOO, P., CHUNG, K. Content-aware rate adaptation scheme to improve stability in HTTP Adaptive Streaming. In Proceedings of the International Conference on Information Networking (ICOIN). Da Nang (Vietnam), 2017, p. 401–405. DOI: 10.1109/ICOIN.2017.7899467
  19. ADZIC, V., KALVA, H., FURHT, B. Optimizing video encoding for adaptive streaming over HTTP. IEEE Transactions on Consumer Electronics, 2012, vol. 58, no. 2, p. 397–403. DOI: 10.1109/TCE.2012.6227439
  20. LEE, Y.-M., SUN, Y.-T., LIN, Y. SATD-based intra mode for H.264/AVC video coding. IEEE Transactions on Circuits and Systems for Video Technology, 2010, vol. 20, no. 3, p. 463–450. DOI:10.1109/TCSVT.2009.2035853
  21. EDEN, A. No-reference estimation of the coding PSNR for H.264- coded sequences. IEEE Transactions on Consumer Electronics, 2007, vol. 53, no. 2, p. 667–674. DOI:10.1109/TCE.2007.381744
  22. HUYN-THU, Q., GHANBARI, M. Scope of validity of PSNR in image/video quality assessment. Electronics Letters, 2008, vol. 44, no. 13, p. 800–801. DOI: 10.1049/el:20080522
  23. SEUFERT, M., SLANINA, M., EGGER, S., KOTTKAMP, M. "To pool or not to pool": A comparison of temporal pooling methods for HTTP adaptive video streaming. In Proceedings of the Fifth International Workshop on Quality of Multimedia Experience (QoMEX), Singapore, 2013, p. 52–57. DOI: 10.1109/QoMEX.2013.6603210

Keywords: Adaptive segmentation, GOP, group of pictures, H.264/AVC, H.265/HEVC, HTTP adaptive streaming, PSNR, video encoding

T. Malach, J. Pomenkova [references] [full-text] [DOI: 10.13164/re.2018.0000] [Download Citations]
Comparing Classifier's Performance Based on Confidence Interval of the ROC

This paper proposes a new methodology for comparing} two performance methods based on confidence interval for the ROC curve. The methods performed and compared are two algorithms for face recognition. The novelty of the paper is three-fold: i) designing a methodology for the comparison of decision making algorithms via confidence intervals of ROC curves; ii) investigating how sample sizes influence the properties of the particular methods; iii) recommendations for a general comparison of decision making algorithms via confidence intervals of ROC curves. To support our conclusions we investigate and demonstrate several approaches for constructing parametric confidence intervals on real data. Thus, we present a non-traditional and reliable way of reporting pattern recognition results using ROC curves with confidence intervals.

  1. SCHAFER, H. Efficient confidence bounds for ROC curves. Statistics in Medicine, 1994, vol. 13, no. 15, p. 551–1561. DOI: 10.1002/sim.4780131506
  2. LOPEZ-RATON, M., RODRIGUEZ-ALVAREZ, A. X., CADARSOSUAREZ, C., GUEDA-SAMPEDRO, F. OptimalCutpoints: An R package for selecting optimal cutpoints in diagnostic tests. Journal of Statistical Software, 2014, vol. 61, no. 8, p. 1–36. DOI: 10.18637/jss.v061.i08
  3. VENKATAKRISHNAN, P., SANGEETHA, S. Singularity detection in human EEG signal using wavelet leaders. Biomedical Signal Processing and Control, 2014, vol. 13, no. 1, p. 282–294. DOI: 10.1016/j.bspc.2014.06.002
  4. XIA, J., BROADHURST, D., I. Translational biomarker discovery in clinical metabolomics: an introductory tutorial. Metabolomics, 2013, vol. 9, no. 2, p. 280–299. DOI: 10.1007/s11306-012-0482-9
  5. VERGARA, L., SORIANO, A., SAFON, G., SALAZAR, A. On the fusion of non-independent detectors. Digital Signal Processing, 2016, vol. 50, p. 24–33. DOI: 10.1016/j.dsp.2015.11.009
  6. BOASHAS, B., AZEMI, G., ALI KHAN, N. Principles of time-frequency feature extraction for change detection in nonstationary signals: Applications to newborn EEG abnormality detection. Pattern Recognition, 2015, vol. 48, no. 43, p. 616–627. DOI: 10.1016/j.patcog.2014.08.016
  7. HANLEY, J. A., MCNEIL, B. J. A method of comparing the areas under receiver operating characteristic curve derived from the same cases. Radiology, 1983, vol. 148, no. 3, p. 839–843. DOI: 10.1148/radiology.148.3.6878708
  8. WESTIN, L. K. Receiver Operating Characteristic (ROC) Analysis. Evaluating Discriminance Effects among Decision Support Systems. 28 pages. [Online] Cited 2015-02-11. Available at: http://nutkin.cs.umu.se/research/reports/2001/018/part1.pdf
  9. BUI, D. T., TUAN, T. A., KLEMPE, H., et al. Spatial prediction models for shallow landslide hazards: A comparative assessment of the efficacy of support vector machines, artificial neural networks, kernel logistic regression, and logistic model tree. Landslides, 2016, vol. 13, no. 2, p. 361–378. DOI: 10.1007/s10346-015-0557-6
  10. BROWN, C. D., DAVIS, H. T. Receiver operating characteristics curves and related decision measures: A tutorial. Chemometrics and Intelligent Laboratory Systems, 2006, vol. 80, no. 1, p. 24–38. DOI: 10.1016/j.chemolab.2005.05.004
  11. ZOU, G. Y., YUE, L. Using confidence intervals to compare several correlated areas under the receiver operating characteristic curves. Statistics in Medicine, 2013, vol. 32, no. 29, p. 5077–5090. DOI: 10.1002/sim.5889
  12. SORIANO, A., VERGARA, L., BOUYIANE, A., SALAZAR, A. Fusion of scores in a detection context based on alpha integration. Neural Computation, 2015, vol. 27, no. 9, p. 1983–2010. DOI: 10.1162/NECO_a_00766
  13. HALL, P., HYNDMAN, R. J., FAN, Y. Nonparametric confidence intervals for receiver operating characteristic curves. Biometrika, 2004, vol. 91, no. 3, p. 743–750. DOI: 10.1093/biomet/91.3.743
  14. ZOU, K. H., HALL, W. J., SHAPIRO, D. E. Smooth non-parametric receiver operating characteristic (ROC) curves for continuous diagnostic tests. Statistics in Medicine, 1997, vol. 16, no. 19, p. 2143–2156. DOI: 10.1002/(SICI)1097- 0258(19971015)16:19<2143::AID-SIM655>3.0.CO;2-3
  15. FAWCETT, T. An introduction to ROC analysis. Pattern Recognition Letters, 2006, vol. 27, no. 8, p. 861–874. DOI: 10.1016/j.patrec.2005.10.010
  16. MALACH, T., POMENKOVA, J. Confidence assessment of face recognition results. In Proceedings of the 25th International Conference Radioelektronika. Pardubice (Czech Republic), 2015, p. 176–179. DOI: 10.1109/RADIOELEK.2015.7129002
  17. STEHLIKOVA, B., TIRPAKOVA, A., POMENKOVA, J., et al. Research Methodology and Statistical Inference. 1 st ed. Brno (Czech Republic): Mendel University, 2009. ISBN 978-80-7375-362-7
  18. GREEN, W. H. Econometric Analysis. USA: Prentice Hall, 2012. ISBN 978-01-3139-538-1
  19. VIOLA, P., JONES, M. Robust real-time object detection. International Journal of Computer Vision, 2001, vol. 57, no. 2, p. 1–25.
  20. AHONEN, A., HADID, A., PETIKAINEN, M. Face description with local binary patterns: application to face recognition. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2006, vol. 28, no 12, p. 2037–2041. DOI: 10.1109/TPAMI.2006.244
  21. MALACH, T., POMENKOVA, J. Face template creation: Is centroid method a suitable approach? In Proceedings of the 24th International Conference Radioelektronika. Bratislava (Slovakia), 2014, p. 724–729. DOI: 10.1109/Radioelek.2014.6828428
  22. BAMBUCH, P., MALACH, T., MALACH, J. Video database for face recognition. In Proceeding of Technical Computing. Bratislava (Slovakia), 2012, p. 1–7.

Keywords: Confidence interval, ROC curves, face recognition, pattern recognition

Peibei Cao, Weijie Xia, Yi Li [references] [full-text] [DOI: 10.13164/re.2018.0835] [Download Citations]
Classification of Ground Targets Based on Radar Micro-Doppler Signatures Using Deep Learning and Conventional Supervised Learning Methods

Radar has great potential in military and civilian areas, including automobile anti-collision, battlefield surveillance, etc., due to its high penetration and all-weather capability. On the basis of traditional targets detection, targets classification can be realized. In this paper, a comparison of targets classification between deep learning (Deep Convolutional Neural Networks (DCNNs)) and conventional supervised learning methods (Support Vector Machine (SVM), Naive Bayes (NB) and SVM-Bayes fusion algorithm) has been made. Furthermore, several factors affecting the accuracy of classifying targets including SNR, decrease of samples, have been researched and discussed. We employ a K-band Doppler radar to acquire the raw signal due to its stationary clutter-rejection, movement detection ability and short wavelength. Then Short-time Fourier Transform (STFT) is applied to the raw signal to characterize micro-Doppler signatures which is the fundament of the classification process. We adopt the DCNNs to deal with the spectrograms directly, while features have been designed and extracted for classification with conventional supervised learning methods. It is shown that the DCNN can achieve average accuracy approximately 99.4% followed by SVM-Bayes fusion algorithm reaching around 95.8%, while the accuracy for SVM and NB is about 94.4% and 91% respectively.

  1. MOLCHANOV, P., ASTOLA, J., EGIAZARIAN, K. Classification of ground moving radar targets by using joint timefrequency analysis. In IEEE Radar Conference. Atlanta (GA, USA), 2012, p. 0366–0371. DOI: 10.1109/RADAR.2012.6212166
  2. VILLEVAL, S., BILIK, I, GURBUZ, S. Z., et al. Application of a 24 GHz FMCW automotive radar for urban target classification. In IEEE Radar Conference. Cincinnati (OH, USA), 2014, p. 1237–1240. DOI: 10.1109/RADAR.2014.6875787
  3. TAHMOUSH, D., SILVIOUS, J., BURKE, E. A radar unattended ground sensor with micro-Doppler capabilities for false alarm reduction. In Conference on Unmanned/Unattended Sensors and Sensor Networks VII. Toulouse (France), 2010, p. 20–22. DOI: 10.1117/12.864959
  4. CHEN, V. C. The Micro-Doppler Effect in Radar. Norwood, Mass: Artech House 2011. ISBN: 9781608070572
  5. CHEN, V. C., TAHMOUSH, D., MICELI, W. J. Radar MicroDoppler Signatures: Processing and Applications. Institution of Engineering and Technology, Stevenage, U.K., Jan, 2014. ISBN: 9781849197168.
  6. STANKOVIC, L., STANKOVIC, S., OROVIC, I. Time frequency analysis of micro-Doppler signals based on compressive sensing. In Compressive Sensing for Urban Radar, 2014. p. 283–326. DOI: 10.1201/b17252–10
  7. SHI, X. R., ZHOU, F., LIU, L., et al. Textural feature extraction based on time-frequency spectrograms of humans and vehicles. IET Radar, Sonar & Navigation, 2015, vol. 9, no. 9, p. 1251–1259. DOI: 10.1049/iet-rsn.2014.0432
  8. BJORKLUND, S., JOHANSSON, T., PETERSSON, H. Target classification in perimeter protection with a micro-Doppler radar. In The 17th International Radar Symposium (IRS). Krakow (Poland), 2016, 5 pages. DOI: 10.1109/IRS.2016.7497363
  9. NANZER, J. A., ROGERS, R. L. Bayesian classification of humans and vehicles using micro-Doppler signals from a scanningbeam radar. IEEE Microwave and Wireless Components Letters, 2009, vol. 19, no. 5, p. 338–340. DOI: 10.1109/LMWC.2009.2017620
  10. HINTON, G., DENG, L., DONG, Y. Deep neural networks for acoustic modeling in speech recognition: the shared views of four research groups. IEEE Signal Processing Magazine, 2012, vol. 29, no. 6, p. 82–97. DOI: 10.1109/MSP.2012.2205597
  11. KRIZHEVSKY, A., SUTSKEVER, I., HINTON, G. ImageNet classification with deep convolutional neural networks. In International Conference on Neural Information Processing Systems. Lake Tahoe (NE, USA), 2012, vol. 25, no. 2, p. 1097–1105. DOI: 10.1145/3065386
  12. HUANG, R., XIE, X., FENG, Z., et al. Face recognition by landmark pooling-based CNN with concentrate loss. In IEEE International Conference on Image Processing (ICIP). Beijing (China), 2017, p. 1582–1586. DOI: 10.1109/ICIP.2017.8296548
  13. JAVIER, R. J., KIM, Y. Application of linear predictive coding for human activity classification based on micro-Doppler signatures. IEEE Geoscience and Remote Sensing Letters, 2013, vol. 11, no. 10, p. 781–785. DOI: 10.1109/LGRS.2014.2311819
  14. CHEN, V., LING, H. Time–Frequency Transforms for Radar Imaging and Signal Analysis. Norwood (MA, USA): Artech House, 2002. ISBN: 9781580532884
  15. WANG, T., WU, D. J., COATES, A., et al. End-to-end text recognition with convolutional neural networks. In Proceedings of the 21st International Conference on Pattern Recognition (ICPR). Tsukuba (Japan), 2012, p. 3304–3308. Print ISBN: 9781467322164
  16. OUYANG, W., WANG, X., ZENG, X. DeepID–net: Deformable deep convolutional neutral networks for object detection. IEEE Transactions on Pattern Analysis and Machine Intelligence. 2016, vol. 39, no. 7, p. 1320–1334. DOI: 10.1109/TPAMI.2016.2587642
  17. JI, S. W., XU, W., YANG, M. 3D convolutional neural networks for human action recognition. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2013, vol. 35, no. 1, p. 221–231. DOI: 10.1109/TPAMI.2012.59
  18. CHERKASSKY, V., MULIER, F. Statistical learning theory. Chapter 4 in Learning from Data: Concepts, Theory, and Methods, 2006, p. 99–150. DOI: 10.1002/9780470140529.ch4
  19. FUREY, T. S., CRISTIANINI, N., DUFFY, N., ET AL. Support vector machine classification and validation of cancer tissue samples using microarray expression data. Bioinformatics, 2000, vol. 16, no. 10, p. 906–914. DOI: 10.1093/bioinformatics/16.10.906
  20. KIM, C. J., HWANG, K. B. Naive Bayes classifier learning with feature selection for spam detection in social bookmarking. In European Conference on Machine Learning and Principles and Practice of Knowledge Discovery in Databases (ECML/PKDD). Antwerp (Belgium), 2008.
  21. POP, I. An approach of the Naive Bayes classifier for the document classification. General Mathematics, 2006, vol. 14, no. 4, p. 135–138.
  22. JIA, Y., SHELHAMER, E., DONAHUE, J. Caffe: Convolutional architecture for fast feature embed-ding. In The 22nd ACM International Conference on Multimedia. Orlando (FL, USA), 2014, p. 675–678. DOI: 10.1145/2647868.2654889
  23. KRIZHEVSKY, A., SUTSKEVER, I., HINTON, G. E. Imagenet classification with deep convolutional neural network. In Proceedings of the 25th International Conference on Neural Information Processing Systems (NIPS'12). Lake Tahoe (NE, USA), 2012, vol. 1, p. 1097–1105. DOI: 10.1145/3065386
  24. TAHMOUSH, D. Review of micro-Doppler signatures. IET Radar, Sonar & Navigation, 2015, vol. 9, no. 9, p. 1140–1146. DOI: 10.1049/iet-rsn.2015.0118
  25. ABDULLAH, R. S. A. R., ALNAEB, A., SALAH, A. A., et al. Micro-Doppler estimation and analysis of slow moving objects in forward scattering radar system. Remote Sensing, 2017, vol. 9, no. 7, 23 pages. DOI: 10.3390/rs9070699
  26. KIM, Y., MOON, T. Human detection and activity classification based on micro-Doppler signatures using deep convolutional neural networks. IEEE Geoscience Remote Sensing Letters, 2016, vol. 13, no. 1, p. 2–8. DOI: 10.1109/LGRS.2015.2491329
  27. REZNICEK, M., BEZOUSEK, P. Commercial CW Doppler radar design and application. In Proceedings of the 27th International Conference Radioelektronika. Brno (Czech Republic), April 2017. DOI: 10.1109/RADIOELEK.2017.7937577
  28. LEI, J., LU C. Target classification based on micro-Doppler signatures. In IEEE International Radar Conference. Arlington (VA, USA), 2005, p. 1–5. DOI: 10.1109/RADAR.2005.1435815

Keywords: Targets classification, micro-Doppler, DCNNs, CW Doppler radar, SVM, Naive Bayes, SVM-Bayes fusion

N. Q. Chen, H. B. Ji, Y. C. Gao, D. Yang [references] [full-text] [DOI: 10.13164/re.2018.0846] [Download Citations]
New Box Particle Filter with Improved Resampling Method and Extended Inclusion Volume Criteria for Multi-target Tracking

In the resampling procedure of traditional box particle filtering, selected box particles are divided in a randomly chosen dimension. This resampling procedure may fail when some elements in the target state vector are unmeasured. To deal with this problem, an improved resampling method for box particle filtering is proposed, where a limit on the sizes of box particles is imposed to restrain the box particles from growing too large. In addition, we extend the inclusion and volume criteria from single-target tracking to multi-target tracking. Instead of indicating whether the true target state is included in the support of the posterior track probability in single target tracking, the inclusion value in multi-target tracking indicates how many true targets are included in the supports of the posterior probability densities. And the volume value in multi-target tracking is redefined as the mean volume of the supports of the posterior probability densities. Simulation results are provided to illustrate the effectiveness of the proposed approach.

  1. MAHLER, R. P. S. Statistical Multisource-Multitarget Information Fusion. 1st ed. Norwood (USA): Artech House, 2007. ISBN: 9781596930926
  2. MAHLER, R. P. S. Multitarget Bayes filtering via first-order multitarget moments. IEEE Transactions on Aerospace and Electronic Systems, 2003, vol. 39, no. 4, p. 1152–1178. DOI: 10.1109/taes.2003.1261119
  3. SCHIKORA, M., GNING, A., MIHAYLOVA, L., et al. Box-particle PHD filter for multi-target tracking. In Proceedings of the 15th International Conference on Information Fusion (FUSION). Singapore, 2012, p. 106–113.
  4. MAHLER, R. P. S. PHD filters of higher order in target number. IEEE Transactions on Aerospace and Electronic Systems, 2007, vol. 43, no. 4, p. 1523–1543. DOI: 10.1109/taes.2007.4441756
  5. VO, B. T., VO, B. N., CANTONI, A. The cardinality balanced multitarget multi-Bernoulli filter and its implementations. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 2, p. 409–423. DOI: 10.1109/tsp.2008.2007924
  6. SONG, L. P., ZHAO, X. G. Box-particle cardinality balanced multitarget multi-Bernoulli filter. Radioengineering, 2014, vol. 23, no. 2, p. 609-617. ISSN: 1210–2512
  7. VO, B. N., VO, B. T., PHUNG, D. Labeled random finite sets and the Bayes multi-target tracking filter. IEEE Transactions on Signal Processing, 2014, vol. 62, no. 24, p. 6554–6567. DOI: 10.1109/tsp.2014.2364014
  8. VO, B. T., VO, B. N. Labeled random finite sets and multi-object conjugate priors. IEEE Transactions on Signal Processing, 2013, vol. 61, no. 13, p. 3460–3475. DOI: 10.1109/tsp.2013.2259822
  9. REUTER, S., VO, B. T., VO, B. N., et al. The labeled multi-Bernoulli filter. IEEE Transactions on Signal Processing, 2014, vol. 62, no. 12, p. 3246–3260. DOI: 10.1109/tsp.2014.2323064
  10. LI, M., LIN, Z. P., AN, W., et al. Box-particle labeled multi-Bernoulli filter for multiple extended target tracking. Radioengineering, 2016, vol. 25, no. 3, p. 527–535. DOI: 10.13164/re.2016.0527
  11. VO, B. N., MA, W. K. The Gaussian mixture probability hypothesis density filter. IEEE Transactions on Signal Processing, 2006, vol. 54, no. 11, p. 4091–4104. DOI: 10.1109/tsp.2006.881190
  12. VO, B. N., SINGH, S., DOUCET, A. Sequential Monte Carlo methods for multi-target filtering with random finite sets. IEEE Transactions on Aerospace and Electronic Systems, 2005, vol. 41, no. 4, p. 1224–1245. DOI: 10.1109/TAES.2005.1561884
  13. GNING, A., RISTIC, B., MIHAYLOVA, L. Bernoulli particle/boxparticle filters for detection and tracking in the presence of triple measurement uncertainty. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 5, p. 2138–2151. DOI: 10.1109/tsp.2012.2184538
  14. GNING, A., MIHAYLOVA, L., ABDALLAH, F. Mixture of uniform probability density functions for non linear state estimation using interval analysis. In Proceedings of the 13th Conference on Information Fusion (FUSION). Edinburgh (UK), 2010, p. 1–8. DOI: 10.1109/icif.2010.5712085
  15. ABDALLAH, F., GNING, A., BONNIFAIT, P. Box particle filtering for nonlinear state estimation using interval analysis. Automatica, 2008, vol. 44, no. 3, p. 807–815. DOI: 10.1016/j.automatica.2007.07.024
  16. GNING, A., RISTIC, B., MIHAYLOVA, L., et al. An introduction to box particle filtering. IEEE Signal Processing Magazine, 2013, vol. 30, no. 4, p. 165–170. DOI: 10.1109/msp.2013.2254601
  17. JAULIN, L., KIEFFER, M., DIDRIT, O., et al. Applied Interval Analysis. 1st ed. Springer-Verlag London, 2001. ISBN: 9781447102496
  18. MOORE, R. E., KEARFOTT, R. B.,CLOUD, M. J. Introduction to Interval Analysis. 1st ed. Society for Industrial and Applied Mathematics, 2009. ISBN: 9780898716696
  19. RUMP, S.M. INTLAB - INTerval LABoratory. In Developments in Reliable Computing. Dordrecht: Kluwer Academic Publishers, 1999, p. 77–104
  20. SCHUHMACHER, D., VO, B. T., VO, B. N. A consistent metric for performance evaluation in multi-object filtering. IEEE Transactions on Signal Processing, 2008, vol. 56, no. 8, p. 3447–3457. DOI: 10.1109/TSP.2008.920469

Keywords: Box particle filter, multi-target tracking, resampling, inclusion and volume, labeled multi-Bernoulli filter

J. Pidanic, K. Juryca, A. M. Kumar [references] [full-text] [DOI: 10.13164/re.2018.0856] [Download Citations]
Analysis of Bistatic Ground Clutter and Applications to Target Plotting

This article presents analysis and parametrization of the bistatic ground clutter which is important from the point of view of the modelling/generating of bistatic clutter, and subsequent developing of the new suppression techniques. The analysis of the bistatic radar has been an active area of research for almost a decade now. The paper includes analysis of bistatic land clutter trials, run in the Czech Republic, specifically in Pardubice city. First, the theoretical part of bistatic radars and models of bistatic clutter is described. The bistatic clutter is measured by a passive radar system developed by the ERA a.s. company. The analysis of bistatic clutter starts with pre-processing techniques such as antenna virtual rotation and suppression of direct signal. The residuals of the signal are used for bistatic clutter analysis and consequently used for determination of bistatic clutter parameters. These parameters are replicas of individual channels, power of replicas, etc. The output is the development of universal analysis software for bistatic clutter that is used for bistatic clutter generation, mandatory for developing suppression techniques.

  1. BEZOUSEK, P., SEDIVY, P. Radar Technology. 1st ed. Prague (CR): Vydavatelstvi CVUT, 2004. 217 p. ISBN 80-010-3036-9 (in Czech)
  2. BARRIE BILLINGSLEY, J. Low-Angle Radar Land Clutter: Measurements and Empirical Models. Stevenage, UK: Institution of Electrical Engineers, 2002, 703 p. ISBN 978-1891121166
  3. SEKINE, M., MAO, Y. Weibull Radar Clutter. London, UK: P. Peregrinus Ltd., 1990. ISBN 0863411916
  4. CHERNIAKOV, M. Bistatic Radar: Emerging Technology. Hoboken, NJ: J. Wiley, 2008. ISBN 978-0470026311
  5. LARSON, R., MAFFETT, A., HEIMILLER, R., et al. Bistatic clutter measurements. IEEE Transactions on Antennas and Propagation, 1978, vol. 26, no. 6, p. 801–804. DOI: 10.1109/TAP.1978.1141947
  6. MCLAUGHLIN, D. J., BOLTNIEW, E., RAGHAVAN, R. S., et al. Crosspolarised bistatic clutter measurements. Electronics Letters, 1995, vol. 31, no. 6, p. 490–491. DOI: 10.1049/el:19950323
  7. MATKIN, B. L., MULLINS, J. H., FERSTER, T. J. Bistatic reflectivity measurements on various terrains at X, Ku, Ka and Wband frequencies. In Proceedings of the 2002 IEEE Radar Conference. Long Beach (CA, USA), 2002, p. 266–271. DOI: 10.1109/NRC.2002.999730
  8. WATTS, S., WICKS, D. C. Empirical models for detection prediction in K-distribution radar sea clutter. In IEEE International Conference on Radar. Arlington (VA, USA), 1990, p. 189–194. DOI: 10.1109/RADAR.1990.201160
  9. WATTS, S. A new method for the simulation of coherent sea clutter. In 2011 IEEE RadarCon (RADAR). Kansas City (MO, USA), 2011, p. 052–057. DOI: 10.1109/RADAR.2011.5960498
  10. WARD, K.D., TOUGH, R. J. A. Modelling radar sea clutter in the littoral. In IET Seminar on Radar Clutter Modelling. London (UK), 2008, p. 25–31. DOI: 10.1049/ic:20080146
  11. ZHANA, W. H., LI, Z. Q. Modeling and simulation of ground clutter in the improvement factor test. In The 6th IEEE Joint International Information Technology and Artificial Intelligence Conference. Chongqing (China), 2011, p. 250–253. DOI: 10.1109/ITAIC.2011.6030322
  12. LI, SHASHA The study of radar ground clutter simulation based on DEM. In IEEE International Conference on Information and Automation (ICIA). Yinchuan (China), 2013, p. 258–262. DOI: 10.1109/ICInfA.2013.6720306
  13. LE HELLARD, D., HENRY, J. P., AGNESINA, E., et al. Ground clutter simulation for surface-based radars. In Proceedings of the International Radar Conference. Alexandria (VA, USA), 1995, p. 579–582. DOI: 10.1109/RADAR.1995.522612
  14. OYEDOKUN, T., INGGS, M. Design and evaluation of a sea clutter simulator. In IEEE International Geoscience and Remote Sensing Symposium. Vancouver (Canada), 2011, p. 2089–2092. DOI: 10.1109/IGARSS.2011.6049576
  15. INGGS, M., GRIFFITHS, H., FIORANELLI, F., et al. Multistatic radar: System requirements and experimental validation. In International Radar Conference. Lille (France), 2014, p. 1–6. DOI: 10.1109/RADAR.2014.7060435
  16. WILLIS, N. J. Bistatic Radar. Boston: Artech House, 1991, 329 p. ISBN 978-0890064276
  17. CHERNIAKOV, M. Bistatic Radar: Principles and Practice. Chichester (UK): John Wiley, 2007. ISBN 978-0470026304
  18. PIDANIC, J., NEMEC, Z., DOLECEK, R., et al. Computing of bistatic cross-ambiguity function on GPU. In IEEE International Symposium on Industrial Electronics. Taipei (Taiwan), 2013, p. 1–5. DOI: 10.1109/ISIE.2013.6563754
  19. PIDANIC, J., SHEJBAL, T., NEMEC, Z., et al. An optimization of a PHD function for association of targets on multistatic radar. In RADAR Conference 2014. Cincinnati (OH, USA), 2014, p. 1084–1089. DOI: 10.1109/RADAR.2014.6875756
  20. PIDANIC, J., SHEJBAL, T., NEMEC, Z., et al. Advanced targets association based on GPU computation of PHD function. In International Conference on Advanced Computer Science and Information Systems (ICACSIS). Depok (Indonesia), 2015, p. 13–22. DOI: 10.1109/ICACSIS.2015.7415197
  21. ERA, a. s [Online] Cited 2015-09-15. Available at: http://www.era.cz
  22. MAPY [Online] Cited 2016-05-18. Available at: https://mapy.cz.
  23. PALAMA, R, GRECO, M. S., STINCO, P., et al. Statistical analysis of bistatic and monostatic sea clutter. IEEE Transactions on Aerospace and Electronic Systems, 2015, vol. 51, no. 4, p. 3036–3054. DOI: 10.1109/TAES.2015.140438
  24. BROWN, J., WOODBRIDGE, K., STOVE, A., et al. VHF airborne passive bistatic radar ground clutter investigation. In IET International Conference on Radar Systems (Radar 2012). Glasgow (UK), 2012, p. 1–5. DOI: 10.1049/cp.2012.1684

Keywords: Bistatic radar, ground clutter, simulation, measurement, signal processing

D. Anandhi, S. Valli [references] [full-text] [DOI: 10.13164/re.2018.0864] [Download Citations]
An Enhanced Approach to Despeckle SAR Images

Synthetic Aperture Radar (SAR) image processing plays a vital role in observing the earth and in understanding its varied features. A SAR image contains edges and shapes hidden by speckle noise. Therefore, despeckling is essential for subsequent feature extraction and classification. This paper presents a new despeckling method based on Non-subsampled Contourlet Transform (NSCT) and Bayesian Maximum A Posterior (BMAP) estimation. NSCT effectively captures the SAR image features as multi-scale and multidirectional information. BMAP is a point estimation based on statistical prior distribution. So, BMAP estimation represents the aggregate behavior in each direction of the NSCT neighborhood coefficients using the statistical prior models. The dependency relationship of NSCT neighborhood coefficients by the statistical priors and BMAP of point estimation shrinks the speckle noise coefficients. In this work, the NSCT higher frequency coefficients are de-speckled, since higher frequency coefficients contains more detail and more noise. This despeckling method is compared with the state-of-the-art methods using a set of reference and non-referenced quality metrics. Experimental results show that this developed method is superior to the other methods used for preserving information and for eliminating speckle noise.

  1. OLIVER, C., QUEGAN, S., Understanding Synthetic Aperture Radar Images. Raleigh, NC: SciTech Publishing, 2004. ISBN: 978-1891121319
  2. DEVAPAL, D., KUMAR, S. S., JOJY, C. Comprehensive survey on SAR image despeckling techniques. Indian Journal of Science and Technology, 2015, vol. 8, no. 24. DOI: 10.17485/ijst/2015/v8i24/82651
  3. GLEICH, D., DATCU, M. Gauss–Markov model for waveletbased SAR image despeckling. IEEE Signal Processing Letters, 2006, vol. 13, no. 6, p. 365–368. DOI: 10.1109/LSP.2006.871712
  4. CHEN, G., LIU, X. Contourlet-based despeckling for SAR image using hidden Markov tree and Gaussian Markov models. In IEEE 1st Asian and Pacific Conference on Synthetic Aperture Radar. Huangshan (China), 2007, p. 784–787. DOI: 10.1109/APSAR.2007.4418727
  5. LIAN XUEQIANG, DING XINGHAO, YAN JINGWEN. SAR image despeckling using nonsubsampled Contourlet transform. Chinese Journal of Scientific Instrument, 2008, vol. 29, no. 3, p. 512.
  6. PENG, M., LIU, W. B., ZHANG, G. A SAR image despeckling using self-adaptive threshold function based on NSCT. Journal of Jiamusi University (Natural Science Edition), 2009, vol. 6, p. 005.
  7. CHANG, X., JIAO, L. C., LIU, F., SHA, YH. SAR image despeckling based on the estimation of speckle variance in nonsubsampled contourlet domain. DianziXuebao (Acta Electronica Sinica), 2010, vol. 38, no. 6, p. 1328–1333.
  8. SUN, Q., JIAO, L., HOU, B. Synthetic aperture radar image despeckling via spatially adaptive shrinkage in the nonsubsampled contourlet transform domain. Journal of Electronic Imaging, 2008, vol. 17, no. 1. DOI: 10.1117/1.2841040
  9. MEJIA MUÑOZ, J. M., DE JESUS OCHOA DOMINGUEZ, H., ORTEGA MAYNEZ, L., et al. SAR image denoising using the non-subsampled contourlet transform and morphological operators. In Mexican International Conference on Artificial Intelligence, 2010, p. 337–347. DOI: 10.1007/978-3-642-16761- 4_30
  10. LANG, S., LIN, C. Y., LIU, J., et al. A comparison of SAR image speckle filters. In Proceeding SPIE (MIPPR 2009: Remote Sensing and GIS Data Processing and Other Applications). 2009, vol. 7498, p. 1–5. DOI: 10.1117/12.830946
  11. QURESHI, S. A., MASOOD, I., HASHMI, M., et al. Noise reduction of electrocardiographic signals using wavelet transforms. Elektronika ir Elektrotechnika, 2014, vol. 20, no. 4, p. 39–42. DOI: 10.5755/j01.eee.20.4.6886
  12. DAS, A. J., TALUKDAR, A. K., SARMA, K. K. An adaptive Rayleigh-Laplacian based MAP estimation technique for despeckling SAR images using stationary wavelet transform. International Journal of Applied Evolutionary Computation (IJAEC), 2013, vol. 4, no. 4, p. 88–102. DOI: 10.4018/ijaec.2013100106
  13. YUE, C., JIANG, W. SAR image denoising in nonsubsampled contourlet transform domain based on maximum a posteriori and non-local constraint. Remote Sensing Letters, 2013, vol. 4, no. 3, p. 270–278. DOI: 10.1080/2150704X.2012.723146
  14. FENG, H. X., HOU, B., JIAO, L. C., et al. SAR image despeckling based on local Gaussian model and MAP in NSCT domain. DianziXuebao (Acta Electronica Sinica), 2010, vol. 38, no. 4, p. 811–816.
  15. ANANDHI, D., VALLI, S. An algorithm for multi-sensor image fusion using maximum a posteriori and nonsubsampled contourlet transform. Computers & Electrical Engineering, 2018, vol. 65, p. 139–152. DOI: 10.1016/j.compeleceng.2017.04.002
  16. GOKUL, J., NAIR, M. S., RAJAN, J. Guided SAR image despeckling with probabilistic non local weights. Computers and Geosciences, 2017, vol. 109, p. 16–24. DOI: 10.1016/j.cageo.2017.07.004
  17. MAHAPATRA, D. K., RAY, S. S., ROY, L. P. Maximum a posteriori-based texture estimation by despeckling of SAR clutter amplitude data. IET Image Processing, 2017, vol. 11, no. 8, p. 656–666. DOI: 10.1049/iet-ipr.2017.0344
  18. SINGH, P., SHREE, R. A new homomorphic and method noise thresholding based despeckling of SAR image using anisotropic diffusion. Journal of King Saud University-Computer and Information Sciences, 2017. DOI: 10.1016/j.jksuci.2017.06.006
  19. CHANG, X., JIAO, L., LIU, F., SHA, Y. SAR image despeckling using scale mixtures of Gaussians in the nonsubsampled contourlet domain. Chinese Journal of Electronics, 2015, vol. 24, no. 1, p. 205–211. DOI: 10.1049/cje.2015.01.034
  20. JI, J., LI, Y. An improved SAR image denoising method based on bootstrap statistical estimation with ICA basis. Chinese Journal of Electronics, 2016, vol. 25, no. 4, p. 786–792. DOI: 10.1049/cje.2016.06.040
  21. TANG, Y., LIU, X. NRDSP: A novel assessment of SAR image despeckling. Neurocomputing, 2017, vol. 249, p. 225–236. DOI: 10.1016/j.neucom.2017.04.002
  22. MASTRIANI, M. New Wavelet-based Superresolution Algorithm for Speckle Reduction in SAR Images. 2006, 8 p. Available at: https://arxiv.org/ftp/arxiv/papers/1608/1608.00270.pdf
  23. NASAPHOTOJOURNAL Laboratories. [Online] Available at: https://www.jpl.nasa.gov
  24. BELGIAN PLATFORM ON EARTH OBSERVATION. [Online] Available at: http://eo.belspo.be/Default.aspx
  25. SANDIA NATIONAL LABORATORIES, AIRBUS DEFENSE AND SPACE. Available at: https://energy.gov/em/sandianational-laboratories and www.intelligence-airbusds.com/en/65- satellite-imagery
  26. EUROPEAN SPACE AGENCY (ESA) Available at: http://www.esa.int/ESA

Keywords: Bayesian MAP estimation, despeckling, Non-Subsampled Contourlet Transform (NSCT), non-reference quality metrics, statistical models, Synthetic Aperture Radar (SAR)

Y. F. Guan, W. G. Chang [references] [full-text] [DOI: 10.13164/re.2018.0876] [Download Citations]
A Non-Uniform-Angular-Rate Beam-Scan Method for Airborne TOPS Mode

TOPS (Terrain Observation by Progressive Scans) mode can achieve large scene coverage through the beam-scan in a uniform-angular-rate form, when the scanning angle is large, the variation of azimuth theoretical resolution with the scanning angle cannot be ignored. Aiming at airborne SAR (Synthetic Aperture Radar) system, the Doppler characteristic of azimuthal echo of the TOPS mode with large scanning angle is analyzed, and a non-uniform-angular-rate beam-scan method is proposed. By adjusting the angular rate of the beam-scan in a real-time form, we can achieve a consistent azimuth theoretical resolution for the targets at different azimuth location. The simulation results show that the proposed method can alleviate the azimuth resolution inconsistency of about 0.4 m when the azimuth theoretical resolution is 5 m.

  1. CERUTTI-MAORI, D., KLARE, J., BRENNER, A. R., et al. Wide-area traffic monitoring with the SAR/GMTI system PAMIR. IEEE Transactions on Geoscience and Remote Sensing, 2008, vol. 46, no. 10, p. 3019–3030. DOI: 10.1109/TGRS.2008.923026
  2. BRENNER, A. R., ENDER, J. H. G. Demonstration of advanced reconnaissance techniques with the airborne SAR/GMTI sensor PAMIR. IEE Proceedings - Radar, Sonar and Navigation, 2006, vol. 153, no. 2, p. 152–162. DOI: 10.1049/ip-rsn:20050044
  3. VAN DER GRAAF, M. W., OTTEN, M. P. G., HUIZING, A. G., et al. AMBER: An X-band FMCW digital beam forming synthetic aperture radar for a tactical UAV. In IEEE International Symposium on Phased Array Systems and Technology. Waltham (MA, USA), 2013, p. 165–170. DOI: 10.1109/ARRAY.2013.6731820
  4. DE ZAN, F., MONTI GUARNIERI, A. TOPSAR: Terrain observation by progressive scans. IEEE Transactions on Geoscience and Remote Sensing, 2006, vol. 44, no. 9, p. 2352–2360. DOI: 10.1109/TGRS.2006.873853
  5. META, A., MITTERMAYER, J., PRATS, P., et al. TOPS imaging with TerraSAR-X: Mode design and performance analysis. IEEE Transactions on Geoscience and Remote Sensing, 2010, vol. 48, no. 2, p. 759–769. DOI: 10.1109/TGRS.2009.2026743
  6. WU, Y. F., SUN, G. C., XIA, X. X., et al. An azimuth frequency non-linear chirp scaling (FNCS) algorithm for TOPS SAR imaging with high squint angle. IEEE Journal of Selected Topics in Applied Earth Observation and Remote Sensing, 2014, vol. 7, no. 1, p. 213–221. DOI: 10.1109/JSTARS.2013.2258893
  7. SUN, G. C., XING, M. D., WANG, Y., et al. Sliding spotlight and TOPS SAR data processing without subaperture. IEEE Geoscience and Remote Sensing Letters, 2011, vol. 8, no. 6, p. 1036–1040. DOI: 10.1109/LGRS.2011.2151174
  8. PRATS, P., SCHEIBER, R., MITTERMAYER, J., et al. Processing of sliding spotlight and TOPS SAR data using baseband azimuth scaling. IEEE Transactions on Geoscience and Remote Sensing, 2010, vol. 48, no. 2, p. 770–780. DOI: 10.1109/TGRS.2009.2027701
  9. XU, W., HUANG, P. P., DENG, Y. K., et al. An efficient approach with scaling factors for TOPS-mode SAR data focusing. IEEE Geoscience and Remote Sensing Letters, 2011, vol. 8, no. 2, p. 929–933. DOI: 10.1109/LGRS.2011.2135837
  10. XU, W., HUANG, P. P., WANG, R., et al. TOPS-mode raw data processing using chirp scaling algorithm. IEEE Journal of Selected Topics in Applied Earth Observation and Remote Sensing, 2014, vol. 7, no. 1, p. 235–246. DOI: 10.1109/JSTARS.2013.2260134
  11. YANG, J., SUN, G. C., XING, M., et al. Squinted TOPS SAR imaging based on modified range migration algorithm and spectral analysis. IEEE Geoscience and Remote Sensing Letters, 2014, vol. 11, no. 10, p. 1707–1711. DOI: 10.1109/LGRS.2014.2306433
  12. SUN, G. C., XING, M., XIA, X. G., et al. Beam steering SAR data processing by a generalized PFA. IEEE Transactions on Geoscience and Remote Sensing, 2013, vol. 51, no. 8, p. 4366–4377. DOI: 10.1109/TGRS.2012.2237407
  13. YANG, W., LI, C. S., CHEN, J., et al. A novel three-step focusing algorithm for TOPSAR image formation. In Proceedings of the 2010 IEEE International Geoscience and Remote Sensing Symposium (IGARSS). Honolulu (HI, USA), 2010, p. 4087–4090. DOI: 10.1109/IGARSS.2010.5649954

Keywords: TOPS, non-uniform, beam-scan, airborne, SAR

A. E. A. Elbanna, T. H. M. Soliman, A. N. Ouda, E. M. Hamed [references] [full-text] [DOI: 10.13164/re.2018.0000] [Download Citations]
Improved Design and Implementation of Automatic Flight Control System (AFCS) for a Fixed Wing Small UAV

This paper presents an implementation of automatic flight control system (AFCS); analyzes and assures its performance during model in loop (MIL), software in loop (SIL), and processor in loop (PIL) stages. Based on both linear and analytic linear models with trimmed values of straight and leveling scenario, the proposed autopilot is applied to an Ultrastick-25e fixed wing unmanned aerial vehicle (UAV). The implementation of Ultrastick-25e AFCS is accomplished according to the resulted design parameters, and performing various flight scenarios. The choice of avionics and sensors of small UAV (SUAV) required for stability based on commercial off the Shelf (COTS) components. The proposed design uses low cost and light weight micro electro mechanical systems (MEMS) as new sensor technology. Since MEMS suffers from various types of noise, state estimation technique is introduced using both Kalman filter (KF) and complementary filter. Moreover, both results of KF and complementary filter are compared. Finally, PIL simulation is implemented to evaluate the autopilot as hardware components and software algorithms for management and PID control structure with its parameters proposed for implementing AFCS. The results show a good performance in disturbance rejection and robustness against sensors noise.

  1. OUDA, A. N. A robust adaptive control approach to missile autopilot design. International Journal of Dynamics and Control, 2017, p. 1–33. DOI: 10.1007/s40435-017-0352-4
  2. VALAVANIS, K. P., OH, P., PIEGL, L. A. (Eds.) Unmanned Aircraft Systems. Proceedings of the International Symposium on Unmanned Aerial Vehicles (UAV’08). Springer Science & Business Media, 2008. ISBN: 978-1-4020-9137-7
  3. AHMED, A. E., HAFEZ, A., OUDA, A. N., et al. Design of a lateral motion controller for a small unmanned aerial vehicle (SUAV). In Proceedings of the 6th International Conference on Mathematical Models for Engineering Science (MMES '15). Michigan State University, East Lansing (MI, USA), 2015, p. 102–107. ISBN: 978-1-61804-341-2
  4. AHMED, A. E., OUDA, A. N., HAFEZ, A., et al. Design of longitudinal motion controller of a small unmanned aerial vehicle. International Journal on Intelligent Systems and Applications, 2015, vol. 10, p. 37–47. DOI: 10.5815/ijisa.2015.10.05
  5. GREWAL, M. S., ANDREW, A. P. Kalman Filtering: Theory and Practice Using MATLAB. 4th ed. John Wiley & Sons, 2015. DOI: 10.1002/9781118984987
  6. GREWAL, M. S., ANDREW, A. P., BARTONE, C. G. Global Navigation Satellite Systems, Inertial Navigation, and Integration. 3rd ed. John Wiley & Sons, 2013. ISBN: 978-1-118-44700-0
  7. HARIDAS, V., VIVEK, A. Longitudinal guidance of unmanned aerial vehicle using integral sliding mode control. Procedia Technology, 2016, vol. 25, p. 36–43. DOI: 10.1016/j.protcy.2016.08.078
  8. KENDOUL, F., FANTONI, I., LOZANO, R. Modelling and control of a small autonomous aircraft having two tilting rotors. IEEE Transactions on Robotics, 2006, vol. 22, no. 6, p.1297–1302. DOI: 10.1109/TRO.2006.882956
  9. ARETSKIN-HARITON, E. D., ZINNECKER, A. M., KRATZ, J. L., et al. Benchmarking model variants in development of a hardware-in-the-loop simulation system. In Proceedings of the AIAA Science and Technology Forum and Exposition. San Diego (USA), 2016, p. 1–11. NASA/TM—2016-219089
  10. THOMAS, G. L., CULLEY, D. E., BRAND, A. The application of hardware in the loop testing for distributed engine control. In Proceedings of the 52nd AIAA/SAE/ASEE Joint Propulsion Conference. 2016. DOI: 10.2514/6.2016-4654
  11. AHMED, E. A., HAFEZ, A., OUDA, A. N., et al. Modelling of a small unmanned aerial vehicle. Advances in Robotics and Automation, vol. 4, no. 1, p. 1–11. DOI: 10.4172/2168-9695.1000126
  12. BIEBER, P., BONIOL, F., BOYER, M., et al. New challenges for future avionic architectures. Aerospace Lab Journal, 2012, vol. 4, p. 1–10.
  13. AHMED, H. E. H., KAMAL, E., ELSAYED, A. Telemetry microcomputer application in satellites OBC. In Proceedings of the IEEE First Asian Himalayas International Conference on Internet. Kathmandu (Nepal), 2009, p. 1–6. DOI: 10.1109/AHICI.2009.5340347
  14. YANG, X., MEJIAS, L., GARRATT, M. Multi-sensor data fusion for UAV navigation during landing operations. In Proceedings of the Australasian Conference on Robotics and Automation. Melbourne (Australia), 2011, p. 1–10. ISBN: 978-0-9807-4042-4.
  15. BEARD, W., MCLAIN, W. Small unmanned aircraft: theory and practice. In Proceedings of the Princeton university press, 2012. ISBN: 978-0-6911-4921-9
  16. PEDLEY, M. Tilt sensing using a three-axis accelerometer. In Proceedings of the Rev. 6, Freescale Semiconductor (Application note), 2013, p.2–21. Doc. No. AN3461
  17. DELL’OLIO, F., TATOLI, T., CIMINELLI, C., et al. Recent advances in miniaturized optical gyroscopes. Journal of the European Optical Society - Rapid Publications, 2014, vol. 9. DOI: 10.2971/jeos.2014.14013
  18. ZOGG, J. M. GPS Essentials of Satellite Navigation. u-blox, 2009. ISBN: 978-3033021396
  19. PRZESTRZELSKI, P., BAKUŁA, M. Study of differential code GPS/Glonass positioning. Annual of Navigation, 2014, vol. 21, no. 1, p. 117–132. DOI: 10.1515/aon-2015-0010
  20. YOO, T. S, HONG, S. K., YOON, H. M., et al. Gain-scheduled complementary filter design for a MEMS based attitude and heading reference system. Sensors, 2011, vol. 11, p. 3816–3830. DOI: 10.3390/s110403816
  21. VASEGHI, S. V. Advanced Digital Signal Processing and Noise Reduction. 4th ed. John Wiley & Sons, 2009. ISBN: 0-470-84162-1
  22. KALMAN, R. E. A new approach to linear filtering and prediction problems. Transactions of the ASME–Journal of Basic Engineering, 1960, vol. 82, no. D, p. 35–45. DOI: 10.1115/1.3662552
  23. BIN LU, XIN WU., FIGUEROA, H., et al. A low-cost real-time hardware-in-the-loop testing approach of power electronics controls. IEEE Transactions on Industrial Electronics, 2007, vol. 54, no. 2, p. 919–931. DOI: 10.1109/TIE.2007.892253
  24. BITTAR, A., FRANCO DE OLIVEIRA, M. N., VALENTE DE FIGUEIREDO, H. Hardware-In-the-Loop simulation with x-plane of attitude control of a SUAV exploring atmospheric conditions. Journal of Intelligent Robot System, 2014, vol. 73, no. 1-4, p. 271–278. DOI 10.1007/s10846-013-9905-8
  25. DOROBANTU, A., SEILER, P., J., BALAS, G. J. Test platforms for model-based flight research. In Proceedings of the University of Minnesota, 2013.

Keywords: Longitudinal autopilot, lateral autopilot, state estimation, AHRS, processor in loop

B. Tuysuz [references] [full-text] [DOI: 10.13164/re.2018.0891] [Download Citations]
A New Target Radar Cross Section Based Passive Radar Surveillance Receiver Positioning Algorithm on Real Terrain Maps

Placing surveillance receivers on passive radar systems is a complex task because of the dependence on third party vendors. This paper presents an efficient and robust algorithm for positioning passive radar surveillance receivers for the intended coverage based on the available transmitters of opportunity in the area. First, by using the topology maps of the area of interest, depending on the expected targets and available transmitters, visibility maps of the terrain is generated with a line of sight algorithm. Then, in terms of the position and the orientation of the targets, the bistatic radar cross sections are determined. Finally, based on the bistatic radar cross sections of the targets, antenna characteristics, visibility analysis and received power levels, the optimum location for the surveillance receiver and the best transmitter of opportunity to be employed is decided. A practical scenario by using frequency modulation radio is realized in the manuscript for the rapid deployment of a mobile passive radar system. It is shown that on a terrain with multiple transmitters, depending on the characteristics of the transmitters and intended coverage, an optimum location for the surveillance receiver can be found for rapid deployment.

  1. CAPRIA, A., CONTI, M., PETRI, D., et al. Ship detection with DVB-T software defined passive radar. In Proceedings of the IEEE GOLD Remote Sensing Conference, 2010, p. 3–5.
  2. SUN, H., TAN, D. K., LU, Y., LESTURGIE, M. Applications of passive surveillance radar system using cell phone base station illuminators. IEEE Aerospace and Electronic Systems Magazine, 2010, vol. 25, no. 3, p. 10–18. DOI: 10.1109/MAES.2010.5463951
  3. EDRICH, M., SCHROEDER, A. Multiband multistatic passive radar system for airspace surveillance: A step towards mature PCL implementations. In Proceedings of the International Conference on Radar. Adelaide (Australia), 2013, p. 218–223. DOI: 10.1109/RADAR.2013.6651988
  4. EVERS, A., JACKSON, J. A. Cross-ambiguity characterization of communication waveform features for passive radar. IEEE Transactions on Aerospace and Electronic Systems, 2015, vol. 51, no. 4, p. 3440–3455. DOI: 10.1109/TAES.2015.140622
  5. TUYSUZ, B., URBINA, J., LIND, F. D. Development of a passive VHF radar system using software-defined radio for equatorial plasma instability studies. Radio Science, 2013, vol. 48, no. 4, p. 416–426. DOI: 10.1002/rds.20047
  6. HOYUELA, C. M., TERZUOLI, A., WASKY, R. Determining possible receiver locations for passive radar. IEE ProceedingsRadar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 206–214. DOI: 10.1049/ip-rsn:20045023
  7. EDRICH, M., MEYER, F., SCHROEDER, A. Design and performance evaluation of a mature FM/DAB/DVB-t multi-illuminator passive radar system. IET Radar, Sonar & Navigation, 2014, vol. 8, no. 2, p. 114–122. DOI: 10.1049/iet-rsn.2013.0162
  8. TANG, L., GONG, X., WU, J., ZHANG, J. Target detection in bistatic radar networks: Node placement and repeated security game. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 3, p. 1279–1289. DOI: 10.1109/TWC.2013.011713.120892
  9. TUYSUZ, B., URBINA, J., MATHEWS, J. Effects of the equatorial electrojet on FM-based passive radar systems. IEEE Transactions on Geoscience and Remote Sensing 2017, vol. 55, no. 7, p. 4082–4088. DOI: 10.1109/TGRS.2017.2687830
  10. WILLIS, N. J., GRIFFITHS, H. D. Advances in Bistatic Radar. SciTech Publishing, 2007. ISBN: 1891121480
  11. BEZOUSEK, P., SCHEJBAL, V. Bistatic and multistatic radar system. Radioengineering, 2008, vol. 17, no. 3, p. 53–59. ISSN: 1210-2512
  12. FARR, T. G., ROSEN, P. A., CARO, E., et al. The shuttle radar topography mission. Reviews of Geophysics, 2007, vol. 45, no. 2, p. 1–33. DOI: 10.1029/2005RG000183
  13. SKOLNIK, M. Radar Handbook. 3rd ed. New York (USA): McGrawHill Education, 2008. ISBN: 0071485473
  14. KNOTT, E. F., TULEY, M. T., SHAEFFER, J. F. Radar Cross Section (Scitech Radar and Defense). SciTech Publishing, 2004. ISBN: 1891121251
  15. KOUYOUMJIAN, R. Asymptotic high-frequency methods. Proceedings of the IEEE, 1965, vol. 53, no. 8, p. 864–876. DOI: 10.1109/PROC.1965.4065
  16. BALANIS, C. A. Advanced Engineering Electromagnetics. Wiley, 1989. ISBN: 0471621943
  17. JENN, D. C., CHATZIGEORGIADIS, M. F. Asymptotic highfrequency methods. IEEE Antennas Propagation Magazine, vol. 46, no. 4, p. 137–139.
  18. BAKER, C. J., GRIFFITHS, H. D., PAPOUTSIS, I. Passive coherent location radar systems. Part 2: Waveform properties. IEE Proceedings - Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 160–168. DOI: 10.1049/ip-rsn:20045083
  19. COLONE, F., CARDINALI, R., LOMBARDO, P. Cancellation of clutter and multipath in passive radar using a sequential approach. In Proceedings of the IEEE Conference on Radar. Verona (USA), 2006, p. 393–399. DOI: 10.1109/RADAR.2006.1631830
  20. JIABING, Z., YI, H., LIANG, T. Adaptive beamforming passive radar based on FM radio transmitter. In Proceedings of the IET International Conference on Radar Systems. Edinburgh (UK), 2007, p. 13. DOI: 10.1049/cp:20070488
  21. TAO, R., WU, H., SHAN, T. Direct-path suppression by spatial filtering in digital television terrestrial broadcasting-based passive radar. IET Radar, Sonar & Navigation, 2010, vol. 4, no. 6, p. 791–805. DOI: 10.1049/iet-rsn.2009.0138

Keywords: Radar theory, passive radar, surveillance, radar receivers, radar cross section

S. Wasif, A. Ishtiaq, N. Waseem [references] [full-text] [DOI: 10.13164/re.2018.0899] [Download Citations]
RE-HARP: RF Energy Harvesting MAC Protocol to Prolong Life Time of CRSNs

Energy drainage is a critical issue in sensor networks which is aggravated further by the incorporation of Cognitive Radio (CR). Radio Frequency Energy Harvesting (RF-EH) is a prominent method for mitigating energy constraints while providing potentially perpetual lifetime. However, its inclusion in Cognitive Radio Sensor Networks (CRSNs) instigates various Medium Access Control (MAC) layer challenges. Effective communication in a way to avoid collisions in the presence of multiple Primary Users (PUs) and CR nodes willing to access electromagnetic spectrum and EH are the significant challenges. In this paper, a MAC protocol for CRSNs which overcome challenges above is proposed. In our protocol, the CR nodes use Dedicated Common Control Channel (DCCC) for control information exchange among CR nodes and Carrier Sense Multiple Access with Collision Avoidance (CSMA/CA) protocol to access channel and avoid collisions. RF-EH technique is also incorporated to prolong the network lifetime. The proposed work is a state of the art MAC protocol in which CR nodes can communicate and harvest energy as well. Performance of the proposed protocol is evaluated in terms of throughput, harvested energy and lifetime of CRSNs by considering different parameters which include PU activities, number of CR nodes, number of flows and packet size. Simulations are performed on Network Simulator (NS-2).

  1. ZHANG, D., CHEN, Z., et al. Energy-harvesting-aided spectrum sensing and data transmission in heterogeneous cognitive radio sensor network. IEEE Transactions on Vehicular Technology, 2017, vol. 66, no. 1, p. 831–843. DOI: 10.1109/TVT.2016.2551721
  2. AKYILDIZ, I. F., LEE, W.-Y., et al. NeXt generation/dynamic spectrum access/cognitive radio wireless networks: A survey. Computer Networks, 2006, vol. 50, no. 13, p. 2127–2159. DOI: 10.1016/j.comnet.2006.05.001
  3. HOMAYOUNI S., GHORASHI, S. A. Sub-banding the secondary users channel in cognitive radio networks considering unreliable spectrum sensing. Wireless Personal Communications, 2014, vol. 77, no. 2, p. 1129–1143. DOI: 10.1007/s11277-013-1558-1
  4. LU, X., WANG, P., NIYATO, D., et al. Wireless networks with RF energy harvesting: A contemporary survey. IEEE Communications Surveys & Tutorials, 2015, vol. 17, no. 2, p. 757–789. DOI: 10.1109/COMST.2014.2368999
  5. NGUYEN, T. N., DUY, T. T., et al. Energy harvesting-based spectrum access with incremental cooperation, relay selection and hardware noises. Radioengineering, 2017, vol. 26, no. 1, p. 240–250. DOI: 10.13164/re.2017.0240
  6. DO, D.-T., NGUYEN, H.-S., et al. Wireless powered relaying networks under imperfect channel state information: System performance and optimal policy for instantaneous rate. Radioengineering, 2017, vol. 26, no. 3, p. 869–877. DOI: 10.13164/re.2017.0869
  7. DO, D.-T. Power switching protocol for two-way relaying network under hardware impairments. Radioengineering, 2015, vol. 24, no. 3, p. 765–771. DOI: 10.13164/re.2015.0765
  8. DO, D.-T., NGUYEN, H.-S. A tractable approach to analyzing the energy-aware two-way relaying networks in the presence of cochannel interference. EURASIP Journal on Wireless Communications and Networking, 2016, vol. 2016, no. 1, p. 271. DOI: 10.1186/s13638- 016-0777-z
  9. LIU, X., et al. Joint resource allocation of spectrum sensing and energy harvesting in an energy-harvesting-based cognitive sensor network. Sensors, 2017, vol. 17, no. 3, p. 600. DOI: 10.3390/s17030600
  10. HAWA, M., et al. A self-learning MAC protocol for energy harvesting and spectrum access in cognitive radio sensor networks. Journal of Sensors, 2016, vol. 2016, p. 1–18. DOI: 10.1155/2016/9604526
  11. ALI, R. E., DIGHAM, F. F., et al. A probabilistic MAC for cognitive radio systems with energy harvesting nodes. In Proceedings of the IEEE Global Conference on InSignal and Information Processing (GlobalSIP). Atlanta (USA), 2014, p. 143–147. DOI: 10.1109/GlobalSIP.2014.7032095
  12. NINTANAVONGSA, P., NADERI, M.Y., et al. A dual-band wireless energy transfer protocol for heterogeneous sensor networks powered by RF energy harvesting. In Proceedings of the International Conference on Computer Science and Engineering (ICSEC). Nakorn Pathom (Thailand), 2013, p. 387–392. DOI: 10.1109/ICSEC.2013.6694814
  13. NADERI, M. Y., NINTANAVONGSA, P., et al. RF-MAC: A medium access control protocol for re-chargeable sensor networks powered by wireless energy harvesting. IEEE Transactions on Wireless Communications, 2014, vol. 13, no. 7, p. 3926–3937. DOI: 10.1109/TWC.2014.2315211
  14. HTIKE, Z., LEE, J., HONG, C. S. A MAC protocol for cognitive radio networks with reliable control channels assignment. In Proceedings of the International Conference on Information Networking (ICOIN). Bali (Indonesia), 2012, p. 81–85. DOI: 10.1109/ICOIN.2012.6164354
  15. CABRIC, D., TKACHENKO, A., et al. Experimental study of spectrum sensing based on energy detection and network cooperation. In Proceedings of the First International Workshop on Technology and Policy for Accessing Spectrum (TAPAS). Boston (USA), 2006, p. 12. DOI: 10.1145/1234388.1234400
  16. YUCEK, T., ARSLAN, H. A survey of spectrum sensing algorithms for cognitive radio applications. IEEE Communications Surveys & Tutorials, 2009, vol. 11, no. 1, p. 116–130. DOI: 10.1109/SURV.2009.090109
  17. XUPING, Z., JIANGUO, P. Energy-detection based spectrum sensing for cognitive radio. In Proceedings of the IET Conference on Wireless, Mobile and Sensor Networks (CCWMSN). Shanghai (China), 2007, p. 944–947. DOI: 10.1049/cp:20070306
  18. BUETTNER, M., YEE, G. V., et al. X-MAC: A short preamble MAC protocol for duty-cycled wireless sensor networks. In Proceedings of the 4th International Conference on Embedded Networked Sensor Systems (SENSYS). Boulder (USA), 2006, p. 307–320. DOI: 10.1145/1182807.1182838
  19. Powercast, 2017 Sep 19, www.powercastco.com
  20. LEE, W. Y., AKYILDIZ, I. F. Optimal spectrum sensing framework for cognitive radio networks. IEEE Transactions on Wireless Communications, 2008, vol. 7, no. 10. p. 3845–3857. DOI: 10.1109/TWC.2008.070391
  21. MIN, A. W., SHIN, K. G. Exploiting multi-channel diversity in spectrum-agile networks. In Proceedings of the 27th IEEE Conference on Computer Communications (INFOCOM). Phoenix (USA), 2008, p. 1921–1929. DOI: 10.1109/INFOCOM.2008.256
  22. DI FELICE, M., CHOWDHURY, K. R., et al. Modeling and performance evaluation of transmission control protocol over cognitive radio ad hoc networks. In Proceedings of the 12th ACM International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems (MSWIM). Tenerife (Spain), 2009, p. 4–12. DOI: 10.1145/1641804.1641809
  23. POLASTRE, J., SZEWCZYK, R., et al. Telos: Enabling ultra-low power wireless research.In Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks (IPSN). Boise (USA), 2005, p. 364–369. DOI: 10.1109/IPSN.2005.1440950
  24. Crcn patch for ns-2. [Online]. 2017 Sep 19. Available: http://faculty.uml.edu/Tricia_Chigan/Research/CRCN_Simulator.htm

Keywords: Energy harvesting, MAC protocol, cognitive radio, life time, transmission capability

H. Rezgui, F. Rouissi, A. Ghazel [references] [full-text] [DOI: 10.13164/re.2018.0909] [Download Citations]
Digital Predistorter Design Using a Reduced Volterra Model to Linearize GaN RF Power Amplifiers

In this paper, a novel method for reducing a Simplified Volterra Series (SVS) model size is proposed for GaN RF Power Amplifier (PA) Digital Predistorter (DPD) design. Using the SVS-modified model, the number of coefficients needed for the PA behavioral modeling and predistortion can be reduced by 60 % while maintaining acceptable performances. Simulation and implementation tests are performed for a Class AB GaN PA and Doherty GaN PA using a 20-MHz Long Term Evolution-Advanced (LTE-A) signal. The Adjacent Channel Power Ratio (ACPR) attains -40 dB and -41 dB for the Doherty and Class AB GaN PAs, respectively. The implementation complexity is also studied and the obtained results prove the capability of the proposed model to linearize PA using 3% of the Slice LUTs and 87% of the DSP48E1 available in the Xilinx Zynq-7000 FPGA.

  1. YOUNES, M., KWAN, A., RAWAT, A., et al. Linearization of concurrent tri-band transmitters using 3-Dphase-aligned pruned Volterra model. IEEE Transaction on Microwave Theory and Techniques, Dec 2013, vol. 61, no. 12, p. 4569–4578. DOI: 10.1109/TMTT.2013.2287176
  2. HELAOUI, M., BOUMIZA, S., GHAZEL, A., et al. Power and efficiency enhancement of 3G multi-carrier amplifiers using digital signal processing with experimental validation. IEEE Transaction on Microwave Theory and Techniques, Apr. 2006, vol. 54, no. 4, p. 1396–1404. DOI: 10.1109/TMTT.2006.871238
  3. HELAOUI, M., BOUMIZA, S., GHAZEL, A., et al. On the RF/DSP design for efficiency of OFDM transmitters. IEEE Transaction on Microwave Theory and Techniques, Jul. 2005. vol. 53, no. 7, p. 2355–2361. DOI: 10.1109/TMTT.2005.850437
  4. BENCHAHED, A., GHAZEL, A., MABROUK, M., et al. RF digital predistorter for power amplifiers of 3G base stations. In IEEE Proceedings of the 13 International Conference on Electronics, Circuits and Systems. Nice (France), Dec. 2006, p. 999–1002. DOI: 10.1109/ICECS.2006.379959
  5. HELAOUI, M., BOUMIZA, S., GHAZEL, A., et al. Low-IF 5 GHz WLAN linearized transmitter using baseband digital predistorter. In IEEE Electronics Circuits and Systems International Conference. Sharjah (United Arab Emirates), Dec. 2003, p. 260–263. DOI: 10.1109/ICECS.2003.1302026
  6. REZGUI, H., ROUISSI, F., GHAZEL, A. FPGA implementation of the predistorter stage for memory polynomial-based DPD for LDMOS power amplifier in DVB-T transmitter. In IEEE International Conference on Advanced Systems and Electric Technologies (IC_ASET). Hammamet (Tunisia), Jan. 2017, p. 356–359. DOI: 10.1109/ASET.2017.7983719
  7. KIM, J., KONSTANTINOU, K. Digital predistortion of wideband signals based on power amplifier model with memory. Electronics Letters, Nov. 2001, vol. 37, no. 23, p. 1417–1418. DOI: 10.1049/el.20010940
  8. MORGAN, D., MA, Z., KIM, J., et al. A generalized memory polynomial model for digital predistortion of RF power amplifiers. IEEE Transaction on Signal Processing, Oct. 2006, vol. 54, no. 10, p. 3852–3860. DOI: 10.1109/TSP.2006.879264
  9. HAMMI, O., YOUNES, M., GHANNOUCHI, F. Metrics and methods for benchmarking of RF transmitter behavioral models with application to the development of a novel hybrid memory polynomial model. IEEE Transaction on Broadcasting, Sep. 2010, vol. 56, no. 3, p. 350–357. DOI: 10.1109/TBC.2010.2052408
  10. HAMMI, O., GHANNOUCHI, F., VASSILAKIS, B. A compact envelope-memory polynomial for RF transmitters modeling with application to baseband and RF-digital predistortion. IEEE Microwave and Wireless Components Letters, 2008, vol. 18, no. 5, p. 359–361. DOI: 10.1109/LMWC.2008.922132
  11. ABDELHAFIZ, A., KWAN, A., HAMMI, O., et al. Digital predistortion of LTE-A power amplifiers using compressedsampling-based unstructured pruning of Volterra series. IEEE Transactions on Microwave Theory and Techniques, Nov. 2014, vol. 62, no. 11, p. 2583–2593. DOI: 10.1109/TMTT.2014.2360845
  12. HAMMI, O., KEDIR, A., GHANNOUCHI, F. Nonuniform memory polynomial behavioral model for wireless transmitters and power amplifiers. In 2012 Asia-Pacific Microwave Conference Proceedings (APMC). Kaohsiung (Taiwan), 2012, p. 836–838. DOI: 10.1109/APMC.2012.6421751
  13. PENG, J., HE, S., WANG, B., et al. Digital predistortion for power amplifier based on sparse Bayesian learning. IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, vol. 63, no. 9, p. 828-832. DOI: 10.1109/TCSII.2016.2534718
  14. TOSINA, J., MARTINEZ, M., CADENAS, C., et al. Behavioral modeling and predistortion of power amplifiers under sparsity hypothesis. IEEE Transactions on Microwave Theory and Techniques, Feb. 2015, vol. 63, no. 2, p. 745–753. DOI: 10.1109/TMTT.2014.2387852
  15. ZHU, A., WREN, M., BRAZIL, T. An efficient Volterra-based behavioral model for wideband RF power amplifiers. In 2003 IEEE MTT-S International Microwave Symposium Digest. Philadelphia (USA), 2003, p. 787–790. DOI: 10.1109/MWSYM.2003.1212488
  16. MOHAMED, A., BOUMAIZA, S., MANSOUR, R. Reconfigurable Doherty power amplifier for multifrequency wireless radio systems. IEEE Transactions on Microwave Theory and Techniques, Apr. 2013, vol. 61, no. 4, p. 1588–1598. DOI: 10.1109/TMTT.2013.2247617
  17. GHANNOUCHI, F., YOUNES, M., RAWAT, M. Distortion and impairments mitigation and compensation of single- and multiband wireless transmitters. IET Microwaves, Antennas and Propagation, May 2013, vol. 7, no. 7, p. 518–534. DOI: 10.1049/iet-map.2012.0663
  18. ZHU, A., PEDRO, J., BRAZIL, T. Dynamic deviation reductionbased behavioral modeling of RF power amplifiers. IEEE Transactions on Microwave Theory and Techniques, Dec. 2006, vol. 54, no. 12, p. 4323–4332. DOI: 10.1109/TMTT.2006.883243
  19. ZHU, A., BRAZIL, T. Behavioral modeling of RF power amplifiers based on pruned Volterra series. IEEE Microwave and Wireless Components Letters, Dec. 2004, vol. 14, no. 12, p. 563–565. DOI: 10.1109/LMWC.2004.837380
  20. GHANNOUCHI, F., HAMMI, O., HELAOUI, M. Behavioral Modeling and Predistortion of Wideband Wireless Transmitters. Technology & Engineering, 2015. ISBN: 978-1-118-40627-4 DOI: 10.1002/9781119004424
  21. PAIGE, C., SAUNDERS, M. LSQR: An algorithm for sparse linear equations and sparse least squares. ACM Transactions on Mathematical Software, 1982, vol. 8, no. 1, p. 43–71. DOI: 10.1145/355984.355989
  22. MUHONEN, K. J., KAVEHRAD, M., KRISHNAMOORTHY, R. Look-Up Table techniques for adaptive digital predistortion: A development and comparison. IEEE Transactions on Vehicular Technology, Sept. 2000, vol. 49, no. 5, p. 1995–2002. DOI: 10.1109/25.892601

Keywords: RF power amplifier, digital predistortion (DPD), simplified Volterra series, estimation algorithms, look up table (LUT), least squares algorithms