ISSN 1210-2512 (Print)

ISSN 1805-9600 (Online)

Radioengineering

Radioeng

Proceedings of Czech and Slovak Technical Universities

About the Journal
Feature Articles
Editorial Board
Publishing Department
Society [CZ]

Log out
Your Profile
Administration

April 2014, Volume 23, Number 1

Show all Hide all

P. Lei, X. Huang [references] [full-text] [Download Citations]
Robust Detection of Moving Human Target in Foliage-Penetration Environment Based on Hough Transform

Attention has been focused on the robust moving human target detection in foliage-penetration environment, which presents a formidable task in a radar system because foliage is a rich scattering environment with complex multipath propagation and time-varying clutter. Generally, multiple-bounce returns and clutter are additionally superposed to direct-scatter echoes. They obscure true target echo and lead to poor visual quality time-range image, making target detection particular difficult. Consequently, an innovative approach is proposed to suppress clutter and mitigate multipath effects. In particular, a clutter suppression technique based on range alignment is firstly applied to suppress the time-varying clutter and the instable antenna coupling. Then entropy weighted coherent integration (EWCI) algorithm is adopted to mitigate the multipath effects. In consequence, the proposed method effectively reduces the clutter and ghosting artifacts considerably. Based on the high visual quality image, the target trajectory is detected robustly and the radial velocity is estimated accurately with the Hough transform (HT). Real data used in the experimental results are provided to verify the proposed method.

  1. LIANG, J., LIANG, Q. Outdoor propagation channel modeling in foliage environment. IEEE Transactions on Vehicular Technology, 2010, vol. 59, no. 5, p. 2243 - 2252.
  2. MENG, Y. S., LEE, Y. H., NG, B. C. Empirical near ground path loss modeling in a forest at VHF and UHF bands. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 5, p. 1461 - 1468.
  3. DEHMOLLAIAN, M., SARABANDI, K. Electromagnetic scattering from foliage camouflaged complex targets. IEEE Transactions on Geoscience and Remote Sensing, 2006, vol. 44, no. 10, p. 2698 to 2709.
  4. HADZAGIC, M., MICHALSKA, H., LEFEBVRE, E. Trackbefore detect methods in tracking low-observable targets: a survey. Sensors & Transducers Magazine, Special Issue, 2005, p. 374 to 380.
  5. AFTANAS, M., DRUTAROVSKY, M. Imaging of the building contours with through the wall UWB radar system. Radioengineering, 2009, vol. 18, no. 3, p. 258 - 264.
  6. HOUGH, P. V. C. Methods and Means for Recognizing Complex Patterns. U.S. Patent 3 069 654, 1962.
  7. CARLSON, B. D., EVANS, E. D., WILSON, S. L. Search radar detection and track with the Hough transform. Part I: System concept. IEEE Transactions on Aerospace and Electronic System, 1994, vol. 30, no. 1, p. 102 - 108.
  8. CARLSON, B. D., EVANS, E. D., WILSON, S. L. Search radar detection and track with the Hough transform. Part II: Detection statistics. IEEE Transactions on Aerospace and Electronic System, 1994, vol. 30, no. 1, p. 109 - 115.
  9. CARLSON, B. D., EVANS, E. D., WILSON, S. L. Search radar detection and track with the Hough transform. Part III: Detection performance with binary integration. IEEE Transactions on Aerospace and Electronic System, 1994, vol. 30, no. 1, p. 116 - 125.
  10. MOYER, L. R., SPAK, J., LAMANNA, P. A multi-dimensional Hough transform-based track-before-detect technique for detecting weak targets in strong clutter backgrounds. IEEE Transactions on Aerospace and Electronic System, 2011, vol. 47, no. 4, p. 3062 to 3068.
  11. WU, X. F., WANG, X. S., LU, H. Z. Motion feature extraction for stepped frequency radar based on Hough transform. IEE Proc. of Radar and Sonar Navig., 2010, vol. 4, no. 1, p. 17 - 27.
  12. ZENG, J. K., HE, Z. S. Detection of weak target for MIMO radar based on Hough transform. Journal of Systems Engineering and Electronics, 2009, vol. 20, no. 1, p. 76 - 80.
  13. WILSON, S. L., CARLSON, B. D. Radar detection in multipath. IEE Proc. of Radar and Sonar Navig., 1999, vol. 146, vo. 1, p. 45 to 54.
  14. HU, J., ZHU, G. F., JIN, T., ZHOU, Z. M. Adaptive through-wall indication of human target with different motions. IEEE Geoscience and Remote Sensing Letters, 2014, vol. 11, no. 5, p. 911 - 915.
  15. LYONS, R. G. Understanding Digital Signal Processing. 3rd ed. New Jersey: Prentice Hall, 2010.
  16. CHEN, C. C., ANDREWS, H. C. Target-motion-induced radar imaging. IEEE Transactions on Aerospace and Electronic System, 1980, vol. 16, no. 1, p. 2 - 14.
  17. GUO, R., HAO, M., LI, M., NI, Y., CHENG, Z. Small targets detection in low resolution sea clutter. In Proceedings of Asia- Pacific Microwave Conference. Hong Kong & Macau (China), 2008, p. 1 - 4.
  18. LEI, P. Z., FAN, C. Y., HUANG, X. T, ZHU, J. H. Weak moving target detection with multipath clutter suppression based on Hough transform. In Proceedings of International Radar Symposium. Dresden (Germany), 2013, p. 774 - 778.
  19. BEHAR, V., DOUKOVSKA, L., KABAKCHIEV, Chr., ROHLING, H. Comparison of Doppler and Hough target velocity estimation techniques. In Proceedings of International Radar Symposium. Cologne (Germany), 2007, p. 157-162.

Keywords: Bistatic LFMCW ground radar, foliage-penetration, human target detection, Hough transform, multipath mitigation, time-varying clutter suppression.

M. ANDRIĆ, D. BUJAKOVIĆ, B. BONDZULIĆ, S. SIMIĆ, B. ZRNIĆ [references] [full-text] [Download Citations]
Analysis of Radar Doppler Signature from Human Data

This paper presents the results of time (autocorrelation) and time-frequency (spectrogram) analyses of radar signals returned from the moving human targets. When a radar signal falls on the human target which is moving toward or away from the radar, the signals reflected from different parts of his body produce a Doppler shift that is proportional to the velocity of those parts. Moving parts of the body causes the characteristic Doppler signature. The main contribution comes from the torso which causes the central Doppler frequency of target. The motion of arms and legs induces modulation on the returned radar signal and generates sidebands around the central Doppler frequency, referred to as micro-Doppler signatures. Through analyses on experimental data it was demonstrated that the human motion signature extraction is better using spectrogram. While the central Doppler frequency can be determined using the autocorrelation and the spectrogram, the extraction of the fundamental cadence frequency using the autocorrelation is unreliable when the target is in the clutter presence. It was shown that the fundamental cadence frequency increases with increasing dynamic movement of people and simultaneously the possibility of its extraction is proportional to the degree of synchronization movements of persons in the group.

  1. ANDRIĆ, M., TODOROVIĆ, B. Information based algorithm for moving object detection. IET Radar, Sonar & Navigation, 2013, vol. 7, no. 3, p. 304 - 311.
  2. GURBUZ, S. Z., TEKELI, B., YUKSEL, M., KARABACAK, C., GURBUZ, A. C., GULDOGAN, M. B. Importance ranking of features for human micro-Doppler classification with a radar network. In Proceedings of the 16th Information Fusion International Conference. Istanbul (Turkey), 2013, p. 610-616.
  3. GEISHEIMER, J. L., MARSHALL, W. S., GRENEKER, E. Continuous-wave radar for gait analysis. In Proceedings of the 35th Asilomar Conference on Signals, Systems, and Computers, vol. 1, 2011, p. 834-838.
  4. VAN DORP, P., GROEN, F. C. A. Human walking estimation with radar. IEE Radar, Sonar & Navigation, 2003, vol. 150, no. 5, p. 356-365.
  5. OTERO, M. Application of a continuous wave radar for human gait recognition. In Proceedings of SPIE, 2005, vol. 5809, p. 538 to 548.
  6. GRENEKER, G. Very low cost stand-off suicide bomber detection system using human gait analysis to screen potential bomb carrying individuals. In Proceedings of SPIE, 2005, vol. 5788, p. 46-56.
  7. THAYAPARAN, T., ABROL, S., RISEBOROUGH, E., STANKOVIC, L. J., LAMOTHE, D., DUFF, G. Analysis of radar micro- Doppler signatures from experimental helicopter and human data. IET Radar, Sonar & Navigation, 2007, vol. 1, no. 4, p. 289 - 299.
  8. JAHANGIR, M., PONTING, K. M., O’LOGHLEN, J. W. Robust Doppler classification technique based on Hidden Markov models. IEE Radar, Sonar & Navigation, 2003, vol. 150, no. 1, p. 33-36.
  9. ANDRIĆ, M., ĐUROVIĆ, Z., ZRNIĆ, B. Ground surveillance radar target classification based on fuzzy logic approach. In Proceedings of International Conference on Computer as a Tool. Belgrade (Serbia), 2005, vol. 2, p. 1390-1392.
  10. BILIK, I., TABRIKIAN, J., COHEN, A. GMM-based target classification for ground surveillance Doppler radar. IEEE Transactions Aerospace and Electronic Systems, 2006, vol. 42, no. 1, p. 267 to 278.
  11. BILIK, I., TABRIKIAN, J. Radar target classification using Doppler signatures of human locomotion models. IEEE Transactions Aerospace and Electronic Systems, 2007, vol. 43, no. 4, p. 1510-1522.
  12. MOLCHANOV, P., ASTOLA, J., EGIAZARIAN, K., TOTSKY, A. Classification of ground moving radar targets by using joint time-frequency analysis. In Proceedings of the Radar Conference. Atlanta (GA), 2012, p. 366 – 371.
  13. ANDRIĆ, M. S., BONDZULIĆ, B. P., ZRNIĆ, B. M. The database of radar echoes from various targets with spectral analysis. In Proceedings of the 10th IEEE Symposium on Neural Network Applications in Electrical Engineering. Belgrade (Serbia), 2010, p. 187–190.
  14. ANDRIĆ, M. S., BONDZULIĆ, B. P., ZRNIĆ, B. M. Feature extraction related to target classification for a radar Doppler echoes. In Proceedings of the 18th Telecommunications forum. Belgrade (Serbia), 2010, p. 725-728.

Keywords: Human gait, Doppler signature, cadence frequency, spectrogram, autocorrelation.

G. Zhu, J. Hu, T. Jin, Z. Zhou [references] [full-text] [Download Citations]
Effect and Compensation of Timing Jitter in Through-Wall Human Indication via Impulse Through-Wall Radar

Impulse through-wall radar (TWR) is considered as one of preferred choices for through-wall human indication due to its good penetration and high range resolution. Large bandwidth available for impulse TWR results in high range resolution, but also brings an atypical adversity issue not substantial in narrowband radars — high timing jitter effect, caused by the non-ideal sampling clock at the receiver. The fact that impulse TWR employs very narrow pulses makes little jitter inaccuracy large enough to destroy the signal correlation property and then degrade clutter suppression performance. In this paper, we focus on the timing jitter impact on clutter suppression in through-wall human indication via impulse TWR. We setup a simple timing jitter model and propose a criterion namely average range profile (ARP) contrast is to evaluate the jitter level. To combat timing jitter, we also develop an effective compensation method based on local ARP contrast maximization. The proposed method can be implemented pulse by pulse followed by exponential average background subtraction algorithm to mitigate clutters. Through-wall experiments demonstrate that the proposed method can dramatically improve through-wall human indication performance.

  1. BARANOSKI, E. J. Through-wall imaging: historical perspective and future directions. Journal of the Franklin Institute Engineering and Applied Mathematics, 2008, vol. 345, no. 6, p. 556-569.
  2. SCHEJBAL, V., BEZOUSEK, P., CERMAK, D., NEMEC, Z., FISER, O., HAJEK, M. UWB Propagation through walls. Radioengineering, 2006, vol. 15, no. 1, p. 17-24.
  3. LU, B., SONG, Q., ZHOU, Z., ZHANG, X. Detection of human beings in motion behind the wall using SAR interferogram. IEEE Geoscience and Remote Sensing Letters, 2012, vol. 9, no. 5, p. 968 to 971.
  4. ROVNAKOVA, J., KOCUR, D. UWB sensor based localization of persons with unknown motion activity. In 14th International Radar Symposium. Dresden (Germany), 2013, p. 649 - 654.
  5. HU, J., ZHU, G., JIN, T., ZHOU, Z. Adaptive through-wall indication of human target with different motions. IEEE Geoscience and Remote Sensing Letters, 2014, vol. 11, no. 5, p. 911-915.
  6. ZETIK, R., CRABBE, S., KRAJNAK, J., PEYERL, P., SACHS, J., THOMA, R. Detection and localization of persons behind obstacles using M-sequence through-the-wall radar. In Proceedings of SPIE - Sensors, and Command, Control, Communications, and Intelligence (C31) Technologies for Homeland Security and Homeland Defense V. Florida (USA), 2006, vol. 6201.
  7. URDZIK, D., KOCUR, D., ROVNAKOVA, J. Detection of multiple targets with enhancement of weak UWB radar signals for the purposes of through wall surveillance. In 10th IEEE Jubilee International Symposium on Applied Machine Intelligence and Informatics. Herlany (Slovakia), 2012, p. 137-142.
  8. RICHARDS, M. A. Fundamentals of Radar Signal Processing. New York: McGraw-Hill, 2005.
  9. NAG, S. Y., BARNES, M. A moving target detection filter for an ultra-wideband radar. In Proceedings of the IEEE Radar Conference. Alabama (USA), 2003, p. 147 - 153.
  10. LI, J., ZENG, Z. F., SUN, J. G., LIU, F. S. Through-wall detection of human being's movement by UWB radar. IEEE Geoscience and Remote Sensing Letters, 2012, vol. 9, no. 6, p. 1079 - 1083.
  11. NEZIROVIC, A., YAROVOY, A. G., LIGTHART, L. P. Signal Processing for Improved Detection of Trapped Victims Using UWB Radar. IEEE Transactions on Geoscience and Remote Sensing, 2010, vol. 48, no. 4, p. 2005 - 2014.
  12. XU, Y., WU, S., CHEN, C., CHEN, J., FANG, G. A novel method for automatic detection of trapped victims by ultrawideband radar. IEEE Transactions on Geoscience and Remote Sensing, 2012, vol. 50, no. 8, p. 3132 - 3142.
  13. ONUNKWO, U. A. Timing jitter in ultra-wideband (UWB) systems. Doctor’s dissertation, Georgia Institute of Technology, 2006.
  14. LIU, T. T., WANG, C. K. A 1-4 GHz DLL based low-jitter multiphase clock generator for low-band ultra-wideband application. In Proceedings of the 4th IEEE Asia-Pacific Conference on Advanced System Integrated Circuits. Fukuoka (Japan), 2004, p. 330 - 333.
  15. LOVELACE, W. M., TOWNSEND, J. K. The effects of timing jitter and tracking on the performance of impulse radio. IEEE Journal on Selected Areas in Communications, 2002, vol. 20, no. 9, p. 1646 - 1651.
  16. CHEN, C. C., ANDREWS, H. C. Target-motion-induced radar imaging. IEEE Transactions on Aerospace and Electronic Systems, 1980, vol. AES-16, no. 1, p. 2 - 14.
  17. HU, J., TU, X., ZHU, G., LI, Y., ZHOU, Z. Coupling suppression in human target detection via impulse through wall radar. In 14th International Radar Symposium. Dresden (Germany), 2013, p. 1008 - 1012.
  18. HU, J., ZHU, G., JIN, T., WANG, L., ZHOU, Z. Study on timing jitter in clutter mitigation of through-wall human indication. In 2013 IEEE International Conference on Ultra-Wideband. Sydney (Australia), 2013, p. 211 - 214.
  19. LYONS, R. G. Understanding Digital Signal Processing. 3rd ed. New Jersey: Prentice Hall, 2010.
  20. HE, F., ZHU, G., HUANG, X., MOU, M., ZHOU, Z., FAN, C. Preliminary results of ultra-wideband through-the-wall lifedetecting radar. In 2010 IEEE Radar Conference. Washington DC (USA), 2010, p. 1327 - 1330.
  21. BARRIE, G. UWB Impulse Radar Characterization and Processing Techniques. Technical Report, Defense R&D Canada - Ottawa, 2004.
  22. IMMOREEV, I. I., FEDOTOV, P. G. S. D. Detection of UWB signals reflected from complex targets. In IEEE Conference on Ultra Wideband Systems and Technologies. Baltimore (USA), 2002, p. 193-196.
  23. SHINAGAWA, M., AKAZAWA, Y., WAKIMOTO, T. Jitter analysis of high-speed sampling systems. IEEE Journal of Solid- State Circuits, 1990, vol. 25, no. 1, p. 220 - 224.
  24. ZHU, D. Y., WANG, L., YU, Y. S., TAO, Q. N., ZHU, Z. D. Robust ISAR range alignment via minimizing the entropy of the average range profile. IEEE Geoscience and Remote Sensing Letters, 2009, vol. 6, no. 2, p. 204 - 208.

Keywords: Through-wall radar, human target indication, clutter suppression, timing jitter, average range profile, local contrast maximization

S. Rzewuski, M. Wielgo, K. Klincewicz, Ł. Podkalicki, K. Kulpa, M. Malanowski [references] [full-text] [Download Citations]
Multistatic Wireless Fidelity Network Based Radar – Results of the Chrcynno Experiment

This paper presents the theory and experimental result of passive radar using WIFI transmitters as illuminators of opportunity. As a result of experiments conducted on 17th August 2013 at airfield Chrcynno a Cessna C208 airplane was detected and tracked using multistatic passive radar system based on low power signal from WIFI network nodes, which were acting as non cooperative illuminators of opportunity. In the experiment 3 wireless access points were communicating with each other and illuminating the radar scene (airfield). The direct reference and reflected (surveillance) signals have been acquired and processed using specially developed algorithm presented in the paper. After signal processing using Passive Coherent Location methods target has been detected. This paper describes in details the algorithms and the results of the experiment for the multistatic passive radar based on the WIFI signal.

  1. SKOLNIK, M. I. Radar Handbook. 3rd ed. 2008 ISBN-10: 0071485473
  2. COMMUNICATIONS RESEARCH CENTRE Radar Detectability of Light Aircraft. U.S department of Commerce National Technical Information Service, AD-A029 262, April 1976.
  3. RZEWUSKI, S., KULPA, K. System concept of WIFI based passive radar. International Journal of Electronics and Telecommunications, 2011, vol. 57, no. 1, p. 447–450.
  4. KULPA, K., RZEWUSKI, S., GAJO, Z., MALANOWSKI, M. Concept of multistatic passive radar based on wireless packet communication systems. In Proceedings of 2011 IEEE CIE International Conference on Radar. Chengdu (China), Oct. 2011, p. 149-152. DOI:10.1109/CIE-Radar.2011.6159497.
  5. RZEWUSKI, S., WIELGO, M., KULPA, K., MALANOWSKI, M., KULPA, J. Multistatic passive radar based on WIFI results of the experiment. In Proceedings of 2013 IEEE CIE International Conference on Radar, Adelaide (Australia), September 2013, p. 230-234. 09/2013, DOI: 10.1109/RADAR.2013.6651990
  6. QIFAN PU, SIDHANT GUPTA, SHYMANATH GOLLAKOTA, SHWETAK PATEL Whole-home gesture recognition using wireless signals. In The 19th Annual International Conference on Mobile Computing and Networking, 2013.
  7. PIETROSEMOLI, E. Long distance WIFI trial. In International Summit for Community Wireless Networks. Columbia, 2007. [Online] Available at http://www.slideshare.net/1ereposition/longdistance- WIFI-trial
  8. GASHINOVA, M., DANIEL, L., HOARE, E., KABAKCHIEV, K., SIZOV, V. Forward scatter radar mode for passive coherent location systems. In Proceedings of 2013 IEEE CIE International Conference on Radar. Adelaide (Australia), September 2013, p. 235-239. DOI: 10.1109/RADAR.2013.6651991
  9. KRYSIK, P., KULPA, K., BACZYK, M., MASLIKOWSKI, L., SAMCZYNSKI, P. Ground moving vehicles velocity monitoring using a GSM based passive bistatic radar. In Proceedings of 2011 IEEE CIE International Conference on Radar. Chengdu (China), Oct. 2011, p. 781-784. DOI:10.1109/CIE-Radar.2011.6159657.
  10. MALANOWSKI, M., KULPA, K., MISIUREWICZ, J. PaRaDe - PAssive RAdar DEmonstrator family development at Warsaw University of Technology. In Microwaves, Radar and Remote Sensing Symposium. Kiev (Ukraine), 2008, p. 75-78. DOI: 10.1109/MRRS.2008.4669549
  11. KULPA, K., CZEKALA, Z. Masking effect and its removal in PCL radar. IEE Proceedings of Radar Sonar and Navigation, June 2005, vol. 152, no. 3, p. 174-178.
  12. IEEE, IEEE802.11a-1999 part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) specifications. IEEE, 1999.
  13. KULPA, K., CZEKALA, Z. Ground clutter suppression in noise radar. In Proceedings of International Conference RADAR. Toulouse (France), 2004, p. 236.
  14. MALANOWSKI, M., KULPA, K. Two methods for target localization in multistatic passive radar. Transactions on Aerospace and Electronic Systems, 2012, vol. 48, no. 1, p. 572- 580. IEEE Log No. T-AES/48/1/943634.
  15. HOWLAND, P. E., MAKSIMIUK, D., REITSMA, G. FM radio based bistatic radar. IEE Proceedings of Radar, Sonar and Navigation, June 2005, vol. 152, DOI 10.1049/ip-rsn:20045077.
  16. GRIFFITHS, H. D., BAKER, C. J., PAPOUTSIS, I. Passive coherent location radar systems. Part 2: Waveform properties. IEE Proc. Radar, Sonar and Navigation, June 2005, vol. 152, no. 3, p. 153–159.

Keywords: Passive radar systems, passive coherent location, passive bistatic radar, multistatic passive radio location, noise radars, WIFI signal decoding, frame detection, processing signal from wireless networks.

M. Radmard, M. M. Nayebi, S. M. Karbasi [references] [full-text] [Download Citations]
Diversity-Based Geometry Optimization in MIMO Passive Coherent Location

Applying the recently emerged technique‎, ‎MIMO (Multiple Input Multiple Output) to PCL (Passive Coherent‎ ‎Location) is expected to improve performance of localization schemes‎. ‎In this paper‎, ‎we explore the‎ ‎application of MIMO technology to PCL schemes and see how it improves the spatial diversity of such systems‎. ‎Specifically‎, ‎we use the DVB-T stations as the‎ ‎illuminators of opportunity in the simulations‎, ‎mainly because of their unique features which‎ ‎make them quite suitable for both MIMO and PCL application as will be demonstrated in this‎ ‎paper‎. ‎In addition‎, ‎we address the key problem of finding optimum locations for placement of receive antennas‎.

  1. HOWLAND, P. Editorial: Passive radar systems. IEE Proceedings – Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 105 - 106.
  2. HOWLAND, P., MAKSIMIUK, D., REITSMA, G. FM radio based bistatic radar. IEE Proceedings – Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 107 - 115.
  3. LAURI, A., COLONE, F., CARDINALI, R., BONGIOANNI, C., LOMBARDO, P. Analysis and emulation of FM radio signals for passive radar. In IEEE Aerospace Conference. Big Sky (MT, USA), 2007, p. 1 - 10.
  4. DI LALLO, A., FARINA, A., FULFOLI, R., GENOVESI, P., LALLI, R., MANCINELLI, R. Design, development and test on real data of an FM based prototypical passive radar. In IEEE Radar Conference. Rome (Italy), 2008, p. 1 - 6.
  5. COLONE, F., WOODBRIDGE, K., GUO, H., MASON, D., BAKER, C. J. Ambiguity Function Analysis ofWireless LAN Transmissions for Passive Radar. IEEE Transactions on Aerospace and Electronic Systems, 2011, vol. 47, p. 240 - 264.
  6. GRIFFITHS, H. D., LONG, N. R.W. Television-based bistatic radar. IEE Proceedings F, Communications, Radar and Signal Processing, 2008, vol. 133, no. 7, p. 649 - 657.
  7. HOWLAND, P. E. Target tracking using television-based bistatic radar. In IEE Proceedings – Radar, Sonar and Navigation, 1999, vol. 146, no. 3, p. 166 - 174.
  8. COLEMAN, C. J., WATSON, R. A., YARDLEY, H. A practical bistatic passive radar system for use with DAB and DRM illuminators. In IEEE Radar Conference. Rome (Italy), 2008, p. 1 - 6.
  9. RADMARD, M., BEHNIA, F., BASTANI, M. Cross ambiguity function analysis of the ’8k-mode’ DVB-T for passive radar application. In IEEE Radar Conference. Washington DC (USA), 2010, p. 242 - 246.
  10. SAINI, R., CHERNIAKOV, M. DTV signal ambiguity function analysis for radar application. In IEE Proceedings – Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 133 - 142.
  11. COLEMAN, C., YARDLEY, H. Passive bistatic radar based on target illuminations by digital audio broadcasting. IET Radar, Sonar & Navigation, 2008, vol. 2, no. 5, p. 366 - 375.
  12. GRIFFITHS, H. D., BAKER, C. J., BAUBERT, J., KITCHEN, N., TREAGUST, M. Bistatic radar using satellite-borne illuminators. In RADAR 2002, p. 1 - 5.
  13. TAN, D. K. P., SUN, H., LU, Y., LESTURGIE, M., CHAN, H. L, Passive radar using Global System for Mobile communication signal: Theory, implementation and measurements. In IEE Proceedings – Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 116 - 123.
  14. SUN, H., TAN, D. K. P., LU, Y. Aircraft target measurements using a GSM-based passive radar. In IEEE Radar Conference. Rome (Italy), 2008, p. 1 - 6.
  15. SUN, H., TAN, D. K. P., LU, Y., LESTURGIE, M. Applications of passive surveillance radar system using cell phone base station illuminators. IEEE Aerospace and Electronic Systems Magazine, 2010, vol. 25, no. 3, p. 10 - 18.
  16. POULLIN, D. Passive detection using digital broadcasters (DAB, DVB) with COFDM modulation. In IEE Proceedings – Radar, Sonar and Navigation, 2005, vol. 152, no. 3, p. 143 - 152.
  17. DAUN, M., KOCH, W. Multistatic target tracking for noncooperative illuminating by DAB/DVB-T. In OCEANS 2007- Europe. Aberdeen (UK), 2007, p. 1 - 6.
  18. RADMARD, M., BASTANI, M. H., BEHNIA, F., NAYEBI, M. M. Feasibility analysis of utilizing the 8k mode DVB-T signal in passive radar applications. Scientia Iranica, 2012, vol. 19, no. 6, p. 1763 - 1770.
  19. HAIMOVICH, A. M., BLUM, R. S., CIMINI, L. J. MIMO radar with widely separated antennas. IEEE Signal Processing Magazine, 2007, vol. 25, no. 1, p. 116 - 129.
  20. LI, J., STOICA, P. MIMO radar with colocated antennas. IEEE Signal Processing Magazine, 2007, vol. 24, no. 5, p. 106 - 114.
  21. RADMARD, M., KARBASI, S. M., KHALAJ, B. H., NAYEBI, M. M. Data association in multi-input single-output passive coherent location schemes. IET Radar, Sonar & Navigation, 2012, vol. 6, no. 3, p. 149 - 156.
  22. RADMARD, M., KARBASI, S. M., NAYEBI, M. M. Data fusion in MIMO DVB-T-based passive coherent location. IEEE Transactions on Aerospace and Electronic Systems, 2013, vol. 49, no. 3, p. 1725 - 1737.
  23. LEHMANN, N. H., FISHLER, E., HAIMOVICH, A. M., BlUM, R. S., CHIZHIK, D., CIMINI, L. J., VALENZUELA, R. A. Evaluation of transmit diversity in MIMO-radar direction finding. IEEE Transactions on Signal Processing, 2007, vol. 55, no. 5, p. 2215 - 2225.
  24. FISHLER, E., HAIMOVICH, A., BLUM, R. S., CIMINI, L. J., CHIZHIK, D., VALENZUELA, R. A. Spatial diversity in radarsmodels and detection performance. IEEE Transactions on Signal Processing, 2006, vol. 54, no. 3, p. 823 - 838.
  25. DE MAIO, A., LOPS, M. Design principles of MIMO radar detectors. IEEE Transactions on Aerospace and Electronic Systems, 2007, vol. 43, no. 3, p. 886 - 898.
  26. HABTEMARIAM, B. K., THRMARASA, R., KIRUBARAJAN, T. Multitarget track before detect with MIMO radars. In IEEE Aerospace Conference. Big Sky (MT, USA), 2010, p. 1 - 9.
  27. FISHLER, E., HAIMOVICH, A., BlUM, R., CHIZHIK, D., CIMINI, L., VALENZUELA, R. MIMO radar: An idea whose time has come. In IEEE Radar Conference. Philadelphia (USA), 2004, p. 71 - 78.
  28. LI, J., STOICA, P., XU, L., ROBERTS, W. On parameter identifiability of MIMO radar. IEEE Signal Processing Letters, 2007, vol. 14, no. 12, p. 968 - 971.
  29. YANG, Y., BLUM, R. S. MIMO radar waveform design based on mutual information and minimum mean-square error estimation. IEEE Transactions on Aerospace and Electronic Systems, 2007, vol. 43, no. 1, p. 330 - 343.
  30. XU, L., LI, J., STOICA, P. Radar imaging via adaptive MIMO techniques. In Proceedings of 14th European Signal Processing Conference. Florence (Italy), 2006.
  31. FUHRMANN, D. R., SAN ANTONIO, G. Transmit beamforming for MIMO radar systems using signal cross-correlation. IEEE Transactions on Aerospace and Electronic Systems, 2008, vol. 44, no. 1, p. 171 - 186.
  32. STOICA, P., LI, J., XIE, Y. On probing signal design for MIMO radar. IEEE Transactions on Signal Processing, 2007, vol. 55, no. 8, p. 4151 - 4161.
  33. LOZANO, A., JINDAL, N. Transmit diversity vs. spatial multiplexing in modern MIMO systems. IEEE Transactions on Wireless Communications, 2010, vol. 9, p. 186 - 197.
  34. GOLDSMITH, A. Wireless Communications. Cambridge University Press, 2005.
  35. TSE, D., VISWANATH, P. Fundamentals of Wireless Communication. Cambridge University Press, 2005.
  36. CHERNYAK, V. S. On the concept of MIMO radar. In IEEE Radar Conference. Washington DC (USA), 2010, p. 327 - 332.
  37. SAN ANTONIO, G., FUHRMANN, D. R., ROBEY, F. C. MIMO radar ambiguity functions. IEEE Journal of Selected Topics in Signal Processing, 2007, vol. 1, no. 1, p. 167 - 177.
  38. GUMIERO, F., NUCCIARONE, C., ANASTASIO, V., LOMBARDO, P., COLONE, F. Multistatic passive radar geometry optimization for target 3D positioning accuracy. In European Radar Conference (EuRAD). Paris, 2010, p. 467 - 470.
  39. GODRICH, H., HAIMOVICH, A. M., BLUM, R. S. Target localisation techniques and tools for multiple-input multiple-output radar. IET Radar, Sonar & Navigation, 2009, vol. 3, no. 4, p. 314 - 327.
  40. RADMARD, M., KHALAJ, B. H., CHITGARHA, M. M., NAZAR MAJD, M., NAYEBI, M. M. Receivers’ positioning in multiple-input multiple-output digital video broadcast-terrestrial-based passive coherent location. IET Radar, Sonar & Navigation, 2012, vol. 6, no. 7, p. 603 - 610.
  41. KALKAN, Y. Cramer-Rao bounds for target position and velocity estimations for widely separated MIMO radar. Radioengineering, 2013, vol. 22, no. 4, p. 1156 - 1161.
  42. HE, Q., BLUM, R. S. Diversity gain for MIMO Neyman-Pearson signal detection. IEEE Transactions on Signal Processing, 2011, vol. 59, no. 3, p. 869 - 881.
  43. SKOLNIK, M. I. Introduction to Radar Systems. 1980.

Keywords: MIMO‎, ‎passive coherent location‎, ‎spatial diversity‎, ‎illuminator of opportunity‎, ‎DVB-T

M. S. Shbat, V. P. Tuzlukov [references] [full-text] [Download Citations]
Evaluation of Detection Performance under Employment of the Generalized Detector in Radar Sensor Systems

The detection performance of the generalized detector (GD) constructed based on the generalized approach to signal processing in noise is evaluated under homogeneous and non-homogeneous noise. The GD adaptive threshold is derived and defined applying an appropriate noise power estimation using the sliding window technique. The direct close expressions for the GD average probability of detection and probability of false alarm are also derived. Typical constant false alarm rate (CFAR) detectors, namely, the cell averaging CFAR (CA-CFAR) detector, the ordered statistic CFAR (OS-CFAR) detector, the generalized censored mean level (GCML) detector, and the adaptive censored greatest-of CFAR (ACGO-CFAR) detector are compared with the GD by detection performance under both homogenous and non-homogeneous noise conditions, i.e. when the interfering targets are absent or present, respectively. Simulation results demonstrate a superiority of GD in detection performance in comparison with the above mentioned CFAR detectors under both homogeneous and non-homogeneous noise conditions.

  1. RICHARDS, M. A. Fundamentals of Radar Signal Processing. McGraw-Hill, New York, 2005.
  2. KAY, S. Fundamentals for Statistical Signal Processing, Vol. II: Detection Theory. Upper Saddle River (New Jersey): Prentice Hall PTR, 1998.
  3. KAY, S. Fundamentals for Statistical Signal Processing, Vol. I: Estimation Theory. Englewood Cliffs (NJ): Prentice Hall, 1993.
  4. KLOTZ, M., ROHLING, H. 24GHz radar sensors for automotive applications. Journal of Telecommunications and Information Technology, 2001, vol. 4, p. 11 – 14.
  5. JEONG, S. H., OH, J. N., LEE, K. H. Design of 24 GHz radar with subspace based digital beam forming for ACC stop-and-go system. ETRI Journal, 2010, vol. 32, no. 5, p. 827 – 830.
  6. ROHLING, H. OS-CFAR performance in a 77 GHz radar sensor for car application. In Proceedings of CIE International Conference of Radar. Beijing (China), Oct. 1996, p. 109 – 114.
  7. LEE, I. B., SHBAT, M. S., YI, J. H., TUZLUKOV, V. P. Signal processing algorithm for blind spot detection using 24 GHz FMCW radar sensor system. In Proc. of the 11th Asia-Pacific ITS Forum & Exhibition. Kaohsiung (Taiwan), 2011, (CD).
  8. LEE, I. B., YI, J. H., TUZLUKOV, V. P. 24 GHz FMCW radar systems for blind spot detection system. In Proceedings of the International Conference of the Korean Society of Automotive Engineers (KSAE). Jeju (South Korea), May 2011, p. 1337 – 1342.
  9. YI, J. H., LEE, I. B., SHBAT, M. S., TUZLUKOV, V. P. 24 GHz FMCW radar sensor algorithms for car applications. In Proceedings of the International Radar Symposium (IRS 2011). Leipzig (Germany), Sept. 2011, p. 465 – 470.
  10. FINN, H., JOHNSON, R. Adaptive detection mode with threshold control as a function of spatially sampled clutter-level estimates. RCA Review, 1968, vol. 29, no. 3, p. 414 – 464.
  11. ROHLING, H. Radar CFAR thresholding in clutter and multiple target situations. IEEE Transactions on Aerospace and Electronic Systems, 1983, vol. 19, no. 4, p. 608 – 621.
  12. GANDHI, P., KASSAM, S. Analysis of CFAR processors in nonhomogeneous background. IEEE Transactions on Aerospace and Electronic Systems, 1988, vol. 24, no. 4, p. 427 – 445.
  13. KHALIGHI, M. A., BASTANI, M. H. Adaptive CFAR processor for nonhomogeneous environments. IEEE Transactions on Aerospace and Electronic Systems, 2000, vol. 36, no. 3, p. 889 – 897.
  14. MAGAZ, B., BELOUCHRANI, A., HAMADOUCHE, M. Automatic threshold selection in OS-CFAR radar detection using information theoretic criteria. Progress in Electromagnetics Research B, 2011, vol. 30, p. 157 – 175.
  15. HIMONAS, S. D., BARKAT, M. A robust radar CFAR detector for multiple target situations. In Proceedings of IEEE National Radar Conference. Dallas (USA), March 1989, p. 85 – 90.
  16. HIMONAS, S. D. Adaptive censor greatest-of CFAR detection. IEE Proceedings-F, Radar and Signal Processing, 1992, vol. 139, no. 3, p. 247 – 255.
  17. TUZLUKOV, V. P. A new approach to signal detection theory. Digital Signal Processing: A Review Journal, 1998, vol. 8 no. 3, p. 166 – 184.
  18. TUZLUKOV, V. P. Signal Detection Theory. Springer-Verlag, Boston, 2001.
  19. SHBAT, M. S., TUZLUKOV, V. P. Generalized approach to signal processing in noise for closing vehicle detection application using FMCW radar sensor system. In Proceedings of the International Radar Symposium (IRS 2011). Leipzig (Germany), Sept. 2011, p. 459 – 464.
  20. SHBAT, M. S., TUZLUKOV, V. P. Signal processing in automotive controller area network based on radar sensors. In Proceedings of the 11th International Conference on Control, Automation, and Systems (ICCAS 2011), Gyeonggi-do (South Korea), Oct. 2011, p. 616 – 620.
  21. SHBAT, M. S., TUZLUKOV, V. P. Generalized detector with adaptive detection threshold for radar sensors. In Proceedings of the International Radar Symposium (IRS 2012). Warsaw (Poland), May 2012, p. 91 – 94.
  22. Draft International Standard ISO/DIS 17387, Intelligent transportation systems - lane change decision aid systems - performance requirements and test procedures, International Organization for Standardization, 2006.
  23. SHBAT, M. S., TUZLUKOV, V. P. CFAR detectors employed by radar sensor systems. In Proceedings of the 12th International Conference on Control, Automation, and Systems (ICCAS 2012). Jeju (South Korea), Oct. 2012, p. 518 – 522.
  24. MAXIMOV, M. Joint correlation of fluctuative noise at outputs of frequency filters. Radio Eng., 1956, no. 9, p. 28 – 38.
  25. CHERNYAK, Y. Joint correlation of noise voltage at outputs of amplifiers with non-overlapping responses. Radio Phys. and Elec., 1960, no. 4, p. 551 – 561.
  26. TUZLUKOV, V. P. Signal Processing Noise. Boca Raton, London, New York, Washington D.C.: CRC Press, Taylor & Francis Group, 2002.
  27. TUZLUKOV, V. P. Signal Processing in Radar Systems. Boca Raton, London, New York, Washington D.C: CRC Press, Taylor & Francis Group, 2012.
  28. GRADSHTEYN, I. S., RYZHIK, I. M. Table of Integrals, Series, and Products. 7th ed., Elsevier, Academic Press, 2007.
  29. LEVANON, N. Radar Principles. New York: J. Wiley & Sons (Interscience Div.), 1988.
  30. MAHAFZA, B. R., ELSHERBENI, A. Z. Matlab Simulation for Radar Systems Design. Chapman & Hall/CRC Press LLC, 2004.
  31. SWERLING, P. Probability of detection for fluctuating targets. IRE Transactions on Information Theory, 1960, vol. IT-6, p. 269 to 308.

Keywords: Constant false alarm rate (CFAR) detector, generalized detector, homogeneous noise, non-homogeneous noise, signal-to-noise ratio (SNR), detection performance, adaptive detection threshold

D. Ivković, M. Andrić, B. Zrnić [references] [full-text] [Download Citations]
False Alarm Analysis of the CATM-CFAR in Presence of Clutter Edge

This paper presents a false alarm analysis of the cell-averaging-trimmed-mean constant false alarm rate (CATM-CFAR) detector in the presence of clutter edge. Structure of the CATM-CFAR detector is described briefly. Detection curves for optimal, CATM, cell-averaging (CA), trimmed-mean (TM) and ordered-statistic (OS) CFAR detectors has been analyzed and compared for desired probability of false alarm and determined size of the reference window. False alarm analysis of the CATM-CFAR in case of clutter with constant clutter-to-noise ratio has been conducted. Also, comparative false alarm analysis of CATM and some of well known CFAR detectors is carried out and results are presented.

  1. ROHLING, H. Radar CFAR thresholding in clutter and multiple target situations. IEEE Trans. Aerosp. Electron. Syst., 1983, vol. 19, p. 608–621.
  2. HANSEN, H. M. Constant false alarm rate processing in search radar. In Proceedings of the IEE International Radar Conference. London (UK), Oct. 1979, p. 325-332.
  3. HANSEN, G. V., SAWYERS, J. H. Detectability loss due to greatest-of selection in a cell averaging CFAR. IEEE Trans. Aerosp. Electron. Syst., 1980, vol. 16, p. 115–118.
  4. RICKARD, J. T., DILLARD, G. M. Adaptive detection algorithms for multiple target situations. IEEE Trans. Aerosp. Electron. Syst., 1977, vol. 13, no. 4, p. 338–343.
  5. GANDHI, P. P., KASSAM, S. A. Analysis of CFAR processors in nonhomogenous background. IEEE Trans. Aerosp. Electron. Syst., 1988, vol. 24, no. 4, p. 427–445.
  6. ZAIMBASHI, A., TABAN, M. R., NAYEBI, M. M., NOROUZI, Y. Weighted order statistic and fuzzy rules CFAR detector for Weibull clutter. Signal Processing, 2008, vol. 88, p. 558–570.
  7. POURMOTTAGHI, A., TABAN, M. R., GAZOR, S., A CFAR detector in a nonhomogenous Weibull clutter. IEEE Trans. Aerosp. Electron. Syst., 2012, vol. 48, no. 2, p. 1747 – 1758.
  8. IVKOVIĆ, D., ANDRIĆ, M., ZRNIĆ, B. A new model of CFAR detector. Frequenz - Journal of RF-Engineering and Telecommunications, DOI 10.1515/freq-2013-0087, Jan. 2014.

Keywords: CFAR detection, false alarm rate, clutter edge

S.Simić, M.Andrić, B.Zrnić [references] [full-text] [Download Citations]
An FPGA Based Implementation of a CFAR Processor Applied to a Pulse-Compression Radar System

A hardware architecture that implements a CFAR processor including six variants of the CFAR algorithm based on linear and nonlinear operations for radar applications is presented. Since some implemented CFAR algorithms require sorting the input samples, the two sorting solutions are investigated. The first one is iterative, and it is suitable when incoming data clock is several times less than sorting clock. The second sorter is very fast by exploiting a high degree of parallelism. The architecture is on-line reconfigurable both in terms of CFAR method and in terms of the number of reference and guard cells. The architecture was developed for coherent radar with pulse compression. Besides dealing with surface clutter and multiple target situations, such radar detector is often faced with high side-lobes at the compression filter output when strong target presents in his sight. The results of implementing the architecture on a Field Programmable Gate Array (FPGA) are presented and discussed.

  1. SKOLNIK, M. I. Introduction to Radar Systems. 3rd ed. New York: McGraw-Hill, 2001.
  2. GANDHI, P. P., KASSAM, S. A. Analysis of CFAR processors in nonhomogeneous background. IEEE Transactions on Aerospace and Electronic Systems, 1988, vol. 24, no. 4, p. 608 - 621.
  3. FINN, H. M., JOHNSON, R. S. Adaptive detection mode with threshold control as a function of spatially sampled clutter-level estimates. RCA Review, 1968, vol. 29, p. 414 - 464.
  4. HANSEN, G. V., SAWYERS, J. H. Detectability loss due to greatest-of selection in a cell averaging CFAR. IEEE Transactions on Aerospace and Electronic Systems, 1980, vol. 16, no. 1, p. 115 - 118.
  5. WEISS, M. Analysis of some modified cell-averaging CFAR processors in multiple-target situations. IEEE Transactions on Aerospace and Electronic Systems, 1982, vol. 18, no. 1, p. 102 to 114.
  6. ROHLING, H. Radar CFAR thresholding in clutter and multiple target situations. IEEE Transactions on Aerospace and Electronic Systems, 1983, vol. 19, no. 4, p. 608 - 621.
  7. ELIAS-FUSTE, A. R, GARCIA, G. M., REYES-DAVO, E. Analysis of some modified order statistic CFAR: OSGO and OSSO CFAR. IEEE Transactions on Aerospace and Electronic Systems, 1990, vol. 26, no.1, p. 197 - 202.
  8. YOU, H. Performance of some generalised modified order statistics CFAR detectors with automatic censoring technique in multiple target situations. IEE Proceedings - Radar, Sonar and Navigation, 1994, vol. 141, no. 4, p. 205 - 212.
  9. RICKARD, J. T., DILLARD, G. M. Adaptive detection algorithms for multiple target situations. IEEE Transactions on Aerospace and Electronic Systems, 1977, vol. 13, no. 4, p. 338 - 343.
  10. NITZBERG, S. Clutter map CFAR analysis. IEEE Transactions on Aerospace and Electronic Systems, 1986, vol. 22, no. 4, p. 419 to 421.
  11. ELIAS-FUSTE, A. R., BROQUETAS-IBARS, A., ANTEQUERA, J. P., YUSTE, J. C. M. CFAR data fusion center with inhomogeneous receivers. IEEE Transactions on Aerospace and Electronic Systems, 1992, vol. 28, no. 1, p. 276 - 285.
  12. UNER, M. K., VARSHNEY, P. K. Distributed CFAR detection in homogeneous and nonhomogeneous backgrounds. IEEE Transactions on Aerospace and Electronic Systems, 1996, vol. 32, no. 1, p. 84 - 97.
  13. BARKAT, M., VARSHNEY, P. K. Decentralized CFAR signal detection. IEEE Transactions on Aerospace and Electronic Systems, 1989, vol. 25, no. 2, p. 141 - 149.
  14. MEZIANI, H. A., SOLTANI, F. Decentralized fuzzy CFAR detectors in homogenous Pearson clutter background. Signal Processing, 2011, vol. 91, no. 11, p. 2530–2540.
  15. IVKOVIĆ, D., ANDRIĆ, M., ZRNIĆ, B. A new model of CFAR detector. Frequenz (accepted for publication Oct. 24, 2013.) [Online] Cited 2014-01-31. DOI: 10.1515/freq-2013-0087
  16. HWANG, J. N., RITCHEY, J. A. Systolic architectures for radar CFAR detectors. IEEE Transactions on Signal Processing, 1991, vol. 39, no.10, p. 2286 - 2295.
  17. HAN, D. S. VLSI architectures for CFAR based on order statistic. Signal Processing, 1997, vol. 62, no. 1, p. 73 - 86.
  18. BEHAR, V. P., KABAKCHIEV, C. A., DOUKOVSKA, L. A. Adaptive CFAR PI processor for radar target detection in pulse jamming. Journal of VLSI Signal Processing, 2000, vol. 26, no. 3, p. 383 - 396.
  19. TORRES, C., CUMPLIDO, R., LOPEZ, S. Design and implementation of a CFAR processor for target detection, In Proceedings of the 14th International Conference on Field Programmable Logic, FPL04. Lectures Notes on Computer Science, 2004, vol. 3203, p. 943 – 947.
  20. MAGAZ, B., BENCHEIKH, M. L. An efficient FPGA implementation of the OS-CFAR processor. In Proceedings of the 9nd International Radar Symposium. Wroclaw (Poland), 2008, p. 1 - 4.
  21. PEREZ-ANDRADE, R., CUMPLIDO, R., FEREGRINO-URIBE, C., DEL-CAMPO, F. M. A versatile hardware architecture for a constant false alarm rate processor based on a linear insertion sorter. Digital Signal Processing, 2010, vol. 20, no. 6, p. 1733 to 1747.
  22. DJEMAL, R., BELWAFI, K., KAANICHE, W., ALSHEBEILI, S.A. A novel hardware/software embedded system based on automatic censored detection for radar systems. International Journal of Electronics and Communications (AEU), 2013, vol. 67, no. 4, p. 301 - 312.
  23. BENSEDDIK, H. E., HAMADOUCHE, M., KHOUAS, A. FPGAbased real-time implementation of distributed system CA-CFAR and clutter MAP-CFAR with noncoherent integration for radar detection. In Proceedings of the 2nd International Symposium on Modeling and Implementation of Complex Systems. Constantine (Algeria), 2012, p. 61 - 67.
  24. MARTINEZ, J., CUMPLIDO, R., FEREGRINO, C. An FPGAbased parallel sorting architecture for the Burrows Wheeler transform. In Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2005. Puebla City (Mexico), Sept. 2005. DOI: 10.1109/RECONFIG.2005.9
  25. SIMIĆ, S., ZEJAK, A. J., GOLUBICIĆ, Z. Range sidelobe reduction in the portable battlefield surveillance radar. In Proc. of the 10th Internat. Conf. on Telecommunications in Modern Satellite, Cable and Broadcasting Services. Nis (Serbia), 2011, p. 571–574.
  26. GOLUBICIĆ, Z., SIMIĆ, S., ZEJAK, A. J. Design and FPGA implementation of digital pulse compression for band-pass radar signals. Journal of Electrical Engineering, 2013, vol. 64, no. 3, p. 191–195.
  27. SIMIĆ, S., ZEJAK, A. J., GOLUBICIĆ, Z. Hardware implementation of DIRLS mismatched compressor applied to a pulse-Doppler radar system. Microprocessors and Microsystems, 2013, vol. 37, no. 4-5, p. 381–393.

Keywords: CFAR, FPGA, pulse compression, radar, self-clutter

A. Mandal, R. Mishra [references] [full-text] [Download Citations]
An Adaptive Clutter Suppression Technique for Moving Target Detector in Pulse Doppler Radar

An adaptive system performs the processing by using an architecture having time-varying parameters on the received signals which accompanies with clutters. In this paper, an adaptive moving target detector has been designed to meet the challenges of target detection amidst various levels of clutter environments. The approach has been used that is able to overcome the inherent limitations of conventional systems (e.g. Moving Target Indicator, Fast Fourier Transform etc.) having predefined coefficients. In this purpose an optimal design of transversal filter is being proposed along with various weight selection Maps to improve probability of detection in ground based surveillance radar. A modified LMS algorithm based adaptive FIR filter has been implemented utilizing modular CORDIC unit as a main processing element for filtering as well as weight updatation to suppress clutter of various intensity. Extensive MATLAB simulations have been done using various levels of clutter input to show the effectiveness of adaptive moving target detector (AMTD).

  1. SKOLNIK, M. I. Introduction to Radar Systems. 3rd ed. New York: McGraw Hill, 2001.
  2. FARINA, A. Optimized Radar Processors. On behalf of IEE. London: Peter Peregrinus Ltd., Oct. 1987.
  3. MOEN, H. J. F., KRISTOFFERSEN, S., SPARR, T. Improved radar detection using evolutionary optimised filter. IET Radar, Sonar & Navigation, 2012, vol. 6, no. 9, p. 803-812.
  4. FARINA, A. Digital equalisation in adaptive spatial filtering for radar systems: a survey. Signal Processing, 2003, vol. 83, no. 1, p. 11-29.
  5. USEVITCH, B. E., ORCHARD, M. T. Adaptive filtering using filter banks. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 1996, vol. 43, no. 3, p. 255 to 265.
  6. MITRA, S. K., MAHALONOBIS, A., SARAMAKI, T. A generalized structural subband decomposition of FIR filters and its application in efficient FIR filter design and implementation. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 1993, vol. 40, no. 6, p. 363-374.
  7. XU, J., YU, J., PENG, Y-N., XIA, X-G. Radon-Fourier Transform for radar target detection, I: Generalized Doppler filter bank. IEEE Transactions on Aerospace and Electronic Systems, 2011, vol. 47, no. 2, p. 1186-1202.
  8. CANDAN, C., EROL, Y. B. Conjugate directions based order recursive implementation of post-Doppler adaptive target detectors. IET Radar, Sonar & Navigation, 2012, vol. 6, no. 7, p. 577-586.
  9. GUERCI, J. R., GOLDSTEIN, J. S., REED, I. S. Optimal and adaptive reduced-rank STAP. IEEE Transactions on Aerospace and Electronic Systems, 2000, vol. 36, no. 2, p. 647–663.
  10. LOMBARDO, P., GRECO, M. V., GINI, F., FARINA, A., BILLINGSLEY, J. B. Impact of clutter spectra on radar performance prediction. IEEE Transactions on Aerospace and Electronic Systems, 2001, vol. 37, no. 3, p. 1022–1038.
  11. CONTE, E., LOPS, M., RICCI, G. Asymptotically optimum radar detection in compound-Gaussian clutter. IEEE Transactions on Aerospace and Electronic Systems, 1995, vol. 31, no. 2, p. 617 to 625.
  12. KOCUR, D., et al. Imaging method: An efficient algorithm for moving target tracking by UWB radar. Acta Polytechnica Hungarica, 2010, vol. 7, no. 3, p. 5-24.
  13. STOICA, P., et al. On using a priori knowledge in space-time adaptive processing. IEEE Transactions on Signal Processing, 2008, vol. 56, no. 6, p. 2598-2602.
  14. HAYKIN, S. S. Adaptive Filter Theory. 4th ed. Pearson Education India, 2005.
  15. DE MAIO, A., DE NICOLA, S., HUANG, Y., ZHANG, S., FARINA, A. Adaptive detection and estimation in the presence of useful signal and interference mismatches. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 2, p. 436-450.
  16. KOTECHA, J. H., DJURIC, P. M. Gaussian particle filtering. IEEE Transactions on Signal Processing, 2003, vol. 51, no. 10, p. 2592-2601.
  17. ROHLING, H. Radar CFAR thresholding in clutter and multiple target situations. IEEE Transactions on Aerospace and Electronic Systems, 1983, vol. AES-19, no. 4, p. 608-621.
  18. PEREZ-ANDRADE, R., CUMPLIDO, R., FEREGRINO-URIBE, C., DEL CAMPO, F. M. A versatile hardware architecture for a constant false alarm rate processor based on a linear insertion sorter. Digital Signal Processing, 2010, vol. 20, no. 6, p. 1733 to 1747.
  19. CHAKRABORTY, M., DHAR, A. S., LEE, M. H. A trigonometric formulation of the LMS algorithm for realisation of pipelined CORDIC. IEEE Trans. Circuits and Systems, 2005, vol. 52, no. 9, p. 530-534.
  20. AKHTER, N., FATEMA, K., FERSOUSE, L., KHANDAKER, F. Implementation of the trigonometric LMS algorithm using original CORDIC rotation. International Journal of Computer Networks & Communications, 2010, vol. 2, no. 4, p. 84-95.
  21. VOLDER, J. E. The CORDIC trigonometric computing technique. IRE Transactions on Electronic Computing, 1959, vol. EC-8, p. 330-334.
  22. KOTA, K., CAVALLARO, J. R. Numerical accuracy and hardware trade-offs for CORDIC arithmetic for special purpose processors. IEEE Trans. on Computers, 1993, vol. 42, no. 7, p. 769-779.
  23. MANDAL, A., MISHRA, R. FPGA implementation of pipelined CORDIC for digital demodulation in FMCW radar. Infocommunications Journal, 2013, vol. V, no. 2, p. 17-23.
  24. KODEK, D. M. Performance limit of finite wordlength FIR digital filters. IEEE Transactions on Signal Processing, 2005, vol. 53, no. 7, p. 2462-2469.
  25. DOUGLAS, S. C., ZHU, Q., SMITH, K. F. A pipelined LMS adaptive FIR filter architecture without adaptation delay. IEEE Transactions on Signal Processing, 1998, vol. 46, no. 3, p. 775 to 779.
  26. HOMER, J. Quantifying the convergence speed of LMS adaptive FIR filter with autoregressive inputs. Electronics Letters, 2000, vol. 36, no. 6, p. 585-586.
  27. CHAKRABORTY, M. Adaptive signal processing. [Online] Available at: http://nptel.ac.in/video.php?subjectId=117105075.
  28. TUĞCU, E., CAKIR, F., OZEN, A. A new step size control technique for blind and non-blind equalization algorithms. Radioengineering, 2013, vol. 22, no. 1, p. 44-51.

Keywords: Adaptive MTD, transversal filter, signal-to-clutter ratio, LMS algorithm, WSM, CORDIC.

S. Tuğaç, M. Efe [references] [full-text] [Download Citations]
Continuous Wavelet Transform and Hidden Markov Model Based Target Detection

Standard tracking filters perform target detection process by comparing the sensor output signal with a predefined threshold. However, selecting the detection threshold is of great importance and a wrongly selected threshold causes two major problems. The first problem occurs when the selected threshold is too low which results in increased false alarm rate. The second problem arises when the selected threshold is too high resulting in missed detection. Track-before-detect (TBD) techniques eliminate the need for a detection threshold and provide detecting and tracking targets with lower signal-to-noise ratios than standard methods. Although TBD techniques eliminate the need for detection threshold at sensor’s signal processing stage, they often use tuning thresholds at the output of the filtering stage. This paper presents a Continuous Wavelet Transform (CWT) and Hidden Markov Model (HMM) based target detection method for employing with TBD techniques which does not employ any thresholding.

  1. TONISSEN, S. M., EVANS, R. J. Performance of dynamic programming techniques for track-before-detect. IEEE Transactions on Aerospace and Electronic Systems, 1996, vol. 32, p. 1440-1451.
  2. JOHNSTON, L. A., KRISHNAMURTHY, V. Performance analysis of a dynamic programming track before detect algorithm. IEEE Transaction on Aerospace and Electronic Systems, 2002, vol. 38, p. 228-242.
  3. TONISSEN, S. M., EVANS, R. J. Target tracking using dynamic programming: algorithm and performance. In Proceedings of the 34th IEEE Conference on Decision and Control, 1995.
  4. BRUNO, M. G. S. Bayesian methods for multiaspect target tracking in image sequences. IEEE Transactions on Signal Processing, 2004, vol. 52, no. 7, p. 1848–1861.
  5. RUTTEN, M. G., GORDON, N. J., MASKELL, S. Recursive track before-detect with target amplitude fluctuations. IEE Proceedings on Radar, Sonar and Navigation, 2005, vol. 152, no. 5, p. 345– 322.
  6. BOERS, Y., DRIESSEN, J. N. Particle filter based detection for tracking. In Proceedings of American Control Conference, 2001, p. 3755-3760.
  7. BOERS, Y., DRIESSEN, J. N. A particle filter based detection scheme. IEEE Signal Processing Letters, 2003, vol. 10, no. 10, p. 300-302.
  8. TUĞAÇ, S., EFE, M. Hidden Markov model based target detection. In 13th International Conference on Information Fusion, 2010.
  9. SAYAMA, S., SEKINE, M. Log-normal, log-Weibull and Kdistributed sea clutter. IEICE Trans. Commun., 2002, vol. E85-B, p. 1375–1381.
  10. GINI, F., FARINA, A., MONTANARI, M. Vector subspace detection in compound-Gaussian clutter. Part II: Performance analysis. IEEE Trans. Aerosp. Electron. Syst., 2002, vol. 38, no. 4, p. 1312–1323.
  11. FAY, F. A., CLARKE, J., PETERS, R. S. Weibull distribution applied to sea-clutter. In Proc. IEE Conf. Radar’77. London (U.K.), 1977, p. 101–103.
  12. NATHANSON, F. E. Radar Design Principles. New York: McGraw-Hill, 1969, p. 254–256.
  13. JAKEMAN, E., PUSEY, P. N. A model for non-Rayleigh sea echo. IEEE Trans. Antennas Propag., 1976, vol. AP-24, no. 6, p. 806–814.
  14. HU, J., TUNG, W. W., GAO, J. B. Detection of low observable targets within sea clutter by structure function based multifractal analysis. IEEE Trans. Antennas Propag., 2006, vol. 54, no. 1, p. 136–143.
  15. XIE, X., EVANS, R. J. Multiple target tracking and multiple frequency line tracking using hidden Markov models. IEEE Trans. Signal Process, 1991, vol. 39, no. 12, p. 2659–2676.
  16. MARTINERIE, F. Data fusion and tracking using HMMs in a distributed sensor network. IEEE Trans. Aerosp. Electron. Syst., 1997, vol. 33, no. 1, p. 11–28.
  17. HERSELMAN, P. L., BAKER, C. J. Analysis of calibrated sea clutter and boat reflectivity data at c- and x-band in south African coastal waters. In RADAR 2007, 2007.
  18. RABINER, L. R. A tutorial on Hidden Markov Models and selected applications in speech recognition. In Proceedings of the IEEE, 1989, vol. 77, no. 2, p. 257-286.
  19. LO, T., LEUNG, H., LITVA, J., HAYKIN S. Fractal characterisation of sea-scattered signals and detection of sea-surface targets. IEE Proceedings on Radar and Signal Processing F, 1993, vol. 140, no. 4, p.243-250.
  20. MARTORELLA, M., BERIZZI, F., MESE, E. D. On the fractal dimension of sea surface backscattered signal at low grazing angle. IEEE Transactions on Antennas and Propagation, 2004, vol. 52, no. 5, p. 1193-1204.
  21. GROSSMANN, A., MORLET, J. Decomposition of hardy functions into square integrable wavelets of constant shape. SIAM Journal of Mathematical Analysis, 1984, vol. 15, no. 4, p. 723–736.
  22. MALLAT, S., HWANG, W. L. Singularity detection and processing with wavelets. IEEE Trans. on Information Theory, 1992, vol. 38, p. 617–643.
  23. MEYER, Y. Wavelets and operators. Cambridge Studies in Advanced Mathematics, 1992, vol. 37.
  24. YOUNG, S., et al. The HTK Book (version 3.0). 2000.
  25. TUGAC, S., EFE, M. Radar target detection using Hidden Markov Models. Progress in Electromagnetics Research B, 2012, vol. 44, p. 241-259.

Keywords: HMM, target detection, track-before-detect, continuous wavelet transform, sea clutter

Z. W. ZONG, L. F. SHI, Y. Z. LI, X. S. WANG [references] [full-text] [Download Citations]
Detection-Discrimination Method for Multiple Repeater False Targets Based on Radar Polarization Echoes

Multiple repeat false targets (RFTs), created by the digital radio frequency memory (DRFM) system of jammer, are widely used in practical to effectively exhaust the limited tracking and discrimination resource of defence radar. In this paper, common characteristic of radar polarization echoes of multiple RFTs is used for target recognition. Based on the echoes from two receiving polarization channels, the instantaneous polarization radio (IPR) is defined and its variance is derived by employing Taylor series expansion. A detection-discrimination method is designed based on probability grids. By using the data from microwave anechoic chamber, the detection threshold of the method is confirmed. Theoretical analysis and simulations indicate that the method is valid and feasible. Furthermore, the estimation performance of IPRs of RFTs due to the influence of signal noise ratio (SNR) is also covered.

  1. MORRIS, G. V., KASTLE, T. A. Trends in electronic countercountermeasures. In National Telesystems Conference Proceedings (NTC’91). Atlanta (USA), 1991, p. 265-269.
  2. RANKEL, S. Defeating theater missile defense radars with active decoys. Science and Global Security, 1997, vol. 6, no. 3, p. 333 to 355.
  3. ROOME, S. Digital radio frequency memory. IEE Electronics and Communications Engineering Journal, 1990, vol. 2, no. 4, p. 147 to 153.
  4. BANDIERA, F., FARINA, A., ORLANDO, D., RICCI, G. Detection algorithms to discriminate between radar targets and ECM signals. IEEE Transaction on Signal Processing, 2010, vol. 58, no. 12, p. 5984–5992.
  5. GRECO, M., GINI, F., FARINA, A. Radar detection and classification of jamming signals belonging to a cone class. IEEE Transaction on Signal Process, 2008, vol. 56, no. 5, p. 1984–1993.
  6. RAO, B., ZHAO, Y.-L., XIAO, S.-P., WANG, X.-S. Discrimination of exo-atmospheric active decoys using acceleration information. IET Radar, Sonar and Navigation, 2010, vol. 4, no. 4, p. 626 to 638.
  7. TANG, B., LI, H.-M., SHENG, X.-Q. Jamming recognition method based on the full polarization scattering matrix of chaff clouds. IET Microwaves, Antennas and Propagation, 2012, vol. 6, no. 13, p. 1451-1460.
  8. SHI, L.-F., WANG, X.-S., XIAO, S.-P. Polarization discrimination between repeater false-target and radar target. Science of China Series F: Information Science, 2009, vol. 52, no. 1, p. 149-158.
  9. GIULI, D., FOSSI, M. Radar target scattering matrix measurement through orthogonal signals. Radar and Signal Processing, IEE Proceeding-F, 1993, vol. 140, no. 4, p. 233–242.
  10. SANTALLA, V., YAHIA, M., ANTAR, M. A comparison between different polarimetric measurement schemes. IEEE Transaction on Geoscience and Remote Sensing, 2002, vol. 40, no. 5, p. 1007-1017.
  11. ZHAO, Z.-C., WANG, X.-S., XIAO, S.-P. Grid-based probability density matrix for multi-sensor data fusion. IEEE Prime Asia, 2009, p. 205-208.
  12. ELFES, A. Using occupancy grids for mobile robot perception and navigation. IEEE Computer, 1989, no. 6, p. 46-57.
  13. GROVES, G. W., BLAIR, W. D., CHOW, W. C. Probability distribution of complex monopulse ratio with arbitrary correlation between channels. IEEE Transactions on Aerospace and Electronic Systems, 1997, vol. 33, no. 4, p. 1345-1350.

Keywords: Multiple repeat false targets, DRFM, jammer, target discrimination, polarization, radar.

G. Soysal, M. Efe, R. L. Streit [references] [full-text] [Download Citations]
Utilization of OIM for Measurement Selection in Multistatic Target Tracking

The sensor management problem can be expressed as obtaining the state estimation with desired accuracy by utilizing the resources effectively. In the literature, there are two principal approaches to this problem, namely task-driven and information driven sensor management. Performance metrics for both task-driven and information driven sensor management frameworks suffer from the heavy computational burden due to the evaluation of expectations or are available only in simulation. In this paper, the Observed Information Matrix (OIM), which is widely used in statistical practice as a surrogate for the Fisher Information Matrix (FIM) in difficult problems, has been proposed as a metric that can be used in sensor management. Recursive computation of OIM has been derived for the cases with linear and nonlinear system dynamics corrupted with additive Gaussian noise. The usefulness of OIM in sensor selection in multistatic target tracking has been demonstrated via simulations.

  1. AOKI, E. H., BAGCHI, A., PRANAB, M., BOERS, Y. A theoretical look at information-driven sensor management criteria. In the Proceedings of 14th International Conference on Information Fusion. Chicago (USA), 2011, p. 1-8.
  2. SCHMAEDEKE, W., KASTELLA, K. Event-averaged maximum likelihood estimation and information-based sensor management. In The Proceedings of SPIE, 1994, vol. 2232, p. 91-96.
  3. KREUCHER, C., KASTELLA, K., HERO, A. O. Multi-target sensor management using alpha-divergence measures. In Lecturer Notes in Computer Science, Proceedings of 2nd International Conference on Information Processing in Sensor Networks. 2003, no. 2634, p. 209-222.
  4. BOERS, Y. DRIESSEN, J. N., BAGCHI, A., MANDAL, P. Particle filter based entropy. In Proceedings of 13th International Conference of Information Fusion. Edinburg (UK), 2010, p. 26-29.
  5. AUGHENBAUGH, J. M., LA COUR, B. R. Sensor management for particle filter tracking. IEEE Transaction on Aerospace and Electronics Systems, 2011, vol. 47, no. 1, p. 503-523.
  6. HERNANDEZ, M. L., KIRUBARAJAN, T., BAR-SHALOM, Y. Multisensor resource deployment using posterior Cramer-Rao bounds. IEEE Transactions on Aerospace and Electronics Systems, 2004, vol. 40, no. 2, p. 399-416.
  7. PANITHAKUMAR, K., KIRUBARAJAN, T., HERNANDEZ, M. L. Multisensor deployment using PCRLBs, incorporating sensor deployment and motion uncertainties. IEEE Transaction on Aerospace and Electronics Systems, 2006, vol. 42, no. 4, p. 1474-1485.
  8. THARMARASA, R., KIRUBARAJAN, T., HERNANDEZ, M. L., SINHA, A. PCRLB-based array management for multitarget tracking. IEEE Transactions on Aerospace and Electronics Systems, 2007, vol. 43, no. 3, p. 539-554.
  9. THARMARASA, R., KIRUBARAJAN, T., HERNANDEZ, M. L. Large-scale optimal sensor array management for multitarget tracking. IEEE Transaction on Systems, Man and Cybernetics-Part C: Applications and Reviews, 2007, vol. 37, no.5, p. 803-814.
  10. THARMARASA, R., KIRUBARAJAN, T., PENG, J., LANG, T. Optimization-based dynamic sensor management for distributed multitarget tracking. IEEE Transaction on Systems, Man and Cybernetics-Part C: Applications and Reviews, 2009, vol. 39, no.5 p. 534-546.
  11. ERDINC, O., WILLETT, P., CORALUPPI, S., Multisensor placement: A tracking approach. Journal on Advances in Information Fusion, 2007, vol. 2, no. 1, p. 22-33.
  12. MOHAMMADI, A., ASIF, A. Distributed posterior Cramer-Rao lower bound for nonlinear sequential Bayesian estimation. In IEEE 7th Sensor Array and Multichannel Signal Processing Workshop. Hoboken (USA), 2012, p. 509-512.
  13. MOHAMMADI, A., ASIF, A. Decentralized computation of the conditional posterior Cramer-Rao lower bound: Application to adaptive sensor selection. IEEE Signal Processing Letters, 2013, vol. 20, no. 2, p. 165-168.
  14. STREIT, R. L. Observed Information Matrices for multistatic target and sensor field tracking. Oceans, 2007, p. 1-6.
  15. EFRON, B., HINKLEY, D. V. Assessing the accuracy of the maximum likelihood estimator: Observed versus expected Fisher information. Biometrika, 1978, vol. 65, p. 457-487.
  16. RISTIC, B., ARULAMPALAM, M. S., GORDON, N. Beyond the Kalman Filter. Artech House, 2004.
  17. TICHAVSKY, P., MURAVCHIK, C. H., NEHORAI, A. Posterior Cramer-Rao bounds for discret-time nonlinear filtering. IEEE Transaction on Signal Processing, 1998, vol. 46, no. 5, p. 1386 to 1396.
  18. ARULAMPALAM, S., MASKELL, S., GORDON, N., CLAPP, T. A tutorial on particle filters for online nonlinear/non-Gaussian Bayesian tracking. IEEE Transaction on Signal Processing, 2002, vol. 50, no. 2, p. 174-188.
  19. SOYSAL, G., BOZDOGAN, A. O., EFE, M. Information analysis in passive radar networks for target tracking. In The Proceeding of 12th International Conference on Information Fusion. Seattle (USA), 2009, p. 1115 – 1122.
  20. BAR-SHALOM, Y., LI, X., KIRUBARAJAN, T. Estimation with Application to Tracking and Navigation. Wiley – Interscience Publication, 2001.

Keywords: Sensor management, observed information matrix, multistatic sensor networks, particle filter

A. Ajorloo, M. Hadavi, M. H. Bastani, M. M. Nayebi [references] [full-text] [Download Citations]
Radar HRRP Modeling using Dynamic System for Radar Target Recognition

High resolution range profile (HRRP) is being known as one of the most powerful tools for radar target recognition. The main problem with range profile for radar target recognition is its sensitivity to aspect angle. To overcome this problem, consecutive samples of HRRP were assumed to be identically independently distributed (IID) in small frames of aspect angles in most of the related works. Here, considering the physical circumstances of maneuver of an aerial target, we have proposed dynamic system which models the short dependency between consecutive samples of HRRP in segments of the whole HRRP sequence. Dynamic system (DS) is used to model the sequence of PCA (principal component analysis) coefficients extracted from the sequence of HRRPs. Considering this we have proposed a model called PCA+DS. We have also proposed a segmentation algorithm which segments the HRRP sequence reliably. Akaike information criterion (AIC) used to evaluate the quality of data modeling showed that our PCA+DS model outperforms factor analysis (FA) model. In addition, target recognition results using simulated data showed that our method based on PCA+DS achieves better recognition rates compared to the method based on FA.

  1. LI, H. J., YANG, S. H. Using range profiles as feature vectors to identify aerospace objects. IEEE Trans. Antennas and Propagation, 1993, vol. 41, no. 3, p. 261-268.
  2. HUDSON, S., PSALTIS, D. Correlation filters for aircraft identification from radar range profiles. IEEE Trans. Aerospace and Electronic Systems, 1993, vol. 29, no. 3, p. 741-748.
  3. MITCHELL, R. A., DEWALL, R. Overview of high range resolution radar target identification. In Proc. Automatic Target Recognition Working Group. Monterey (CA), 1994.
  4. MITCHELL, R. A., WESTERKAMP, J. J. Robust statistical feature based aircraft identification. IEEE Trans. Aerospace and Electronic Systems, 1999, vol. 35, no. 3, p. 1077-1094.
  5. LIAO, X., BAO, Z., XING, M. On the aspect sensitivity of high resolution range profiles and its reduction methods. In IEEE International Radar Conference Record. 2000, p. 310-315.
  6. ZHU, F., ZHANG, X., HU, Y., XIE, D. Non-stationary hidden Markov models for multi-aspect discriminative feature extraction from radar targets. IEEE Trans. Signal Processing, 2007, vol. 55, no. 5, p. 2203–2214.
  7. DU, L., LIU, H., BAO, Z. Radar HRRP statistical recognition: parametric model and model selection. IEEE Trans. Signal Processing, 2008, vol. 56, no. 5, p. 1931-1944.
  8. AJORLOO, A., HADAVI, M., NAYEBI, M. M., BASTANI, M. H. Statistical modeling of consecutive range profiles for radar target recognition. In 14th International Radar Symposium (IRS). June 2013, vol. 2, p. 608-613.
  9. LI, J., STOICA, P. Efficient mixed-spectrum estimation with applications to target feature extraction. IEEE Trans. Signal Processing, 1996, vol. 44, p. 281–295.
  10. AKAIKE, H., HIROTUGU, M. A new look at the statistical model identification. IEEE Trans. Automatic Control, 1974, vol. 19, no. 6, p. 716–723.
  11. SCLOVE, S. Application of model-selection criteria to some problems in multivariate analysis. Psychometrika, 1987, vol. 52, no. 3, p. 333–343.
  12. SHIRMAN, Y. D. Computer Simulation of Aerial Target Radar Scattering, Recognition, Detection, and Estimation. Artech House, 2001.
  13. DIGALAKIS, V., ROHLICEK, J. R., OSTENDORF, M. ML estimation of a stochastic linear system with the EM algorithm and its application to speech recognition. IEEE Trans. Speech and Audio Processing, 1993, vol. 1, no. 4, p. 441-452.
  14. AKAIKE, H. Factor analysis and AIC. Psychometrika, 1987, vol. 52, no. 3, p. 317–332.

Keywords: Radar Target Recognition, High Resolution Range Profile (HRRP), Dynamic System, PCA Coefficients, Akaike Information Criterion (AIC).

J. S. Kulpa [references] [full-text] [Download Citations]
Channel Influence Mitigation in Pseudo-noise Waveform Design for Radar Applications

Noise Radar is a rapidly developing technology which uses noise or pseudo-noise waveforms as sounding signals to de- tect targets of interest. The advantages of such waveforms are no range nor velocity ambiguities, the possibility of using continuous waveform and low probability of intercept. However, the noise waveform correlation sidelobes are spread across the entire range–Doppler plane and their level is de- termined by the time-bandwidth product. Such sidelobes limit the detection capability in the multitarget environment. Several algorithms exist that decrease the sidelobe level and thus enhance dynamic range of the radar, but they are very susceptible to distortions in an analogue channel. In this paper the author presents a method to create low-sidelobe waveforms using a filtering algorithm designed for given channel, decreasing the analogue front-end impact on the final properties of the waveforms.

  1. BINNS, P. FMCW radar: a low cost sensor for automotive applications. In IEE Colloquium on Automotive Sensors. 1992, p. 6/1 - 6/6.
  2. HORTON, B. Noise-modulated distance measuring systems. Proceedings of the IRE, 1959, vol. 47, no. 5, p. 821 - 828.
  3. GUOSUI, L., HONG, G., XIAOHUA, Z., WEIMIN, S. The present and the future of random signal radars. IEEE Aerospace and Electronic Systems Magazine, 1997, vol. 12, no. 10, p. 35 - 40.
  4. LUKIN, K. Millimeter wave noise radar technology. In Third International Kharkov Symposium on Physics and Engineering of Millimeter and Submillimeter Waves (MSMW). Kharkov (Ukraine), 1998, vol. 1, p. 94 - 97.
  5. THAYAPARAN, T., DAKOVIC´ , M., STANKOVIC´ , L. Mutual interference and low probability of interception capabilities of noise radar. IET Radar, Sonar Navigation, 2008, vol. 2, no. 4, p. 294 - 305.
  6. LIEVSAY, J., AKERS, G. Moving target detection via digital time domain correlation of random noise radar signals. In IEEE Radar Conference (RADAR). 2011, p. 784 - 788.
  7. SUSEK, W., STEC, B., RECKO, C. Broadband microwave correlation receiver for noise radar. In 11th International Radar Symposium (IRS). 2010, p. 1 - 4.
  8. KULPA, K., CZEKAŁA, Z. Short distance clutter masking effects in noise radars. Applied Radio Electronics, 2005, vol. 4, no. 1, p. 96 - 98.
  9. KULPA, K. The CLEAN type algorithms for radar signal processing. In Microwaves, Radar and Remote Sensing Symposium (MRRS). 2008, p. 152 - 157.
  10. WOODWARD, P. M.Probability and Information Theory, with Applications to Radar.. London: Pergamon Press ltd., 1953.
  11. BARKER, R. H. Group synchronization of binary digital systems. In Proceedings of Second London Symposium on Information Theory. 1953, p. 273 - 287.
  12. FRANK, R. L. Polyphase codes with good nonperiodic correlation properties. IEEE Transactions on Information Theory, 1963, vol. 9, no. 1, p. 43 - 45.
  13. COSTAS, J. A study of a class of detection waveforms having nearly ideal range-Doppler ambiguity properties. Proceedings of the IEEE, 1984, vol. 72, no. 8, p. 996 - 1009.
  14. SACHS, J. Handbook of Ultra-Wideband Short-Range Sensing: Theory, Sensors, Applications. Wiley, 2013.
  15. HAYASHI, T., MAEDA, T., KANEMOTO, S., OKAWA, S. Zerocorrelation zone sequence sets having subsets and its application to instrumentation. In Proceedings of SICE Annual Conference (SICE). 2011, p. 2406 - 2410.
  16. MATHELIER, B., KIRAN, D., REDDY, V. U. Synthesis of waveforms from zero-lag cross-correlation matrix with specified constraints and power levels. In International Conference on Signal Processing and Communications (SPCOM). 2012, p. 1 - 4.
  17. HE, H., STOICA, P., LI, J. Unimodular sequence design for good autocorrelation properties. In IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). 2009, p. 2517 - 2520.
  18. STOICA, P., HE, H., LI, J. New algorithms for designing unimodular sequences with good correlation properties. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 4, p. 1415 - 1425.
  19. KULPA, J. S., MISIUREWICZ, J. Pseudo-noise waveform design minimizing range and Doppler masking effect. International Journal of Electronics and Telecommunications, 2011, vol. 57, no. 3, p. 359 - 362.
  20. ANGLIN, J., STILES, J. Radar transmit waveform design for lossy propagation channels. In International Waveform Diversity and Design Conference (WDD). 2010, p. 121 - 125.
  21. KULPA, J. S., MA´SLIKOWSKI, Ł., KULPA, K. Pseudo-noise waveform synthesis for SAR applications. In European Radar Conference (EuRAD). 2010, p. 25 - 28.

Keywords: Noise radars, random signal radar, zero correlation zone, waveform design, channel calibration

F. Guneş, S. Demirel, P. Mahouti [references] [full-text] [Download Citations]
Design of a Front– End Amplifier for the Maximum Power Delivery and Required Noise by HBMO with Support Vector Microstrip Model

Honey Bee Mating Optimization (HBMO) is a recent swarm-based optimization algorithm to solve highly nonlinear problems, whose based approach combines the powers of simulated annealing, genetic algorithms, and an effective local search heuristic to search for the best possible solution to the problem under investigation within a reasonable computing time. In this work, the HBMO- based design is carried out for a front-end amplifier subject to be a subunit of a radar system in conjunction with a cost effective 3-D SONNET-based Support Vector Regression Machine (SVRM) microstrip model. All the matching microstrip widths, lengths are obtained on a chosen substrate to satisfy the maximum power delivery and the required noise over the required bandwidth of a selected transistor. The proposed HBMO- based design is applied to the design of a typical ultra-wide-band low noise amplifier with NE3512S02 on a substrate of Rogers 4350 for the maximum output power and the noise figure F(f)=1dB within the 5-12 GHz using the T- type of microstrip matching circuits. Furthermore, the effectiveness and efficiency of the proposed HBMO based design are manifested by comparing it with the Genetic Algorithm (GA), Particle Swarm Optimization (PSO) and the simple HBMO based designs.

  1. DEMIREL, S. A generalized procedure for design of microwave amplifiers and its applications. PhD Thesis (in Turkish). Yıldız Technical University, Istanbul, Turkey, 2009.
  2. DEMIREL, S., GUNEŞ, F. Performance characterization of a microwave transistor for maximum output power and the required noise. IET Circuits Devices Syst, 2013, vol. 7, no. 1, p. 9–20.
  3. HADDAD, O. B., AFSHAR, A., MARINO, M. A. Honey-Bees Mating Optimization (HBMO) algorithm: A new heuristic approach for water resources optimization. Water Resources Management, 2006, vol. 20, p. 661–680.
  4. AFSHAR, A., HADDAD, O. B., MARINO, M. A., ADAMS, B. J. Honey-Bee Mating Optimization (HBMO) algorithm for optimal reservoir operation. Journal of the Franklin Institute, 2007, vol. 344, p. 452–462.
  5. FATHIAN, M., AMIRI, B., MAROOSI, A. Application of Honey- Bee Mating Optimization algorithm on clustering. Applied Mathematics and Computation, 2007, vol. 190, p.1502–13.
  6. NIKNAM, T. Application of Honey Bee Mating Optimization on distribution state estimation including distributed generators. J. Zhejiang University SCIENCE A, 2008, vol. 9, p.1753–1764.
  7. VAPNIK, V. The Nature of Statistical Learning Theory. New York: Springer – Verlag, 1995.
  8. KESKIN, A. K. Design optimization of ultra wide band microstrip amplifier using 3-D Sonnet-based SVRM with particle swarm intelligence. MSc Thesis. Yıldız Technical University, Istanbul, Turkey, 2012.
  9. http://www.sonnetsoftware.com/products/sonnet-suites/
  10. POZAR, D. M. Microwave Engineering. John Wiley & Sons, 2012.
  11. GUNEŞ, F., GUNEŞ, M., FIDAN, M. M. Performance characterization of a microwave transistor. IEE Proceedings- Circuits, Devices and Systems, 1994, vol. 141, no. 5, p. 337–344.
  12. GUNEŞ, F., ÇETINER, B. A. A Novel Smith chart formulation of performance characterization for a microwave transistor. IEE Proceedings -Circuits Devices and Systems, 1998, vol. 145, no. 6, p. 419–428.
  13. GUNEŞ, F., OZKAYA, U., DEMIREL, S. Particle swarm intelligence applied to determination of the feasible design target for a low–noise amplifier. Microwave and Optical Technology Letters, 2009, vol. 51, p. 1214–1218.
  14. MAHOUTI, P., GUNEŞ, F., DEMIREL, S. Honey–Bees Mating Algorithm applied to feasible design target space for a wide–band front–end amplifier. In ICUWB 2012- IEEE International Conference on Ultra-Wideband. Syracuse (NY), 2012, p. 251 – 255, DOI: 10.1109/ICUWB.2012.6340411.
  15. GUNEŞ, F., TURKER, N., GURGEN, F. Signal-noise support vector model of a microwave transistor. Int J RF and Microwave CAE, 2007, vol. 17, no. 4, p. 404–415.
  16. GUNEŞ, F., TORPI, H., GURGEN, F. A multidimensional signalnoise neural network model for microwave transistors. IEE Proceedings-Circuits Devices and Systems, 1998, vol. 145, no. 2, p. 111–117.
  17. DEMIREL, S., GUNEŞ, F., TORPI, H. Particle swarm intelligence use in feasible design target space of a microwave transistor for a wide-band output-stage requirements. In ICUWB- 2012 IEEE International Conference on Ultra-Wideband. Syracuse (NY), 2012, p. 246-250, DOI: 10.1109/ICUWB.2012. 6340403.
  18. GUNEŞ, F., KESKIN, A. K., DEMIREL, S. Genetic Algorithm applied to microstrip implementation of matching circuits for a UWB low-noise amplifier. In IEEE International Conference on Ultra-Wideband. Syracuse (NY), 2012, p. 241 – 245, DOI: 10.1109/ICUWB.2012.6340406.
  19. GUNEŞ, F., TOKAN, N. T., GURGEN, F. A knowledge-based support vector synthesis of the transmission lines for use in microwave integrated circuits. Expert Systems with Applications, 2010, vol. 37, no. 2, p. 3302–3309.
  20. MATLAB and Neural Networks Toolbox Release 2012b, the MathWorks, Inc., Natick, Massachusetts, United States.

Keywords: Honey Bee Mating, Low Noise Amplifier, microstrip, optimization, matching circuit, SVRM

F. GUNEŞ, S. DEMİREL, S. NESİL [references] [full-text] [Download Citations]
A Novel Design Approach to X-Band Minkowski Reflectarray Antennas using the Full-Wave EM Simulation-based Complete Neural Model with a Hybrid GA-NM Algorithm

In this work, a novel multi-objective design optimization procedure is presented for the Minkowski Reflectarray RAs using a complete 3-D CST Microwave Studio MWS-based Multilayer Perceptron Neural Network MLP NN model including the substrate constant εr with a hybrid Genetic GA and Nelder-Mead NM algorithm. The MLP NN model provides an accurate and fast model and establishes the reflection phase of a unit Minkowski RA element as a continuous function within the input domain including the substrate 1 ≤ εr ≤ 6; 0.5mm ≤ h ≤ 3mm in the frequency between 8GHz ≤ f ≤ 12GHz. This design procedure enables a designer to obtain not only the most optimum Minkowski RA design all throughout the X- band, at the same time the optimum Minkowski RAs on the selected substrates. Moreover a design of a fully optimized X-band 15×15 Minkowski RA antenna is given as a worked example with together the tolerance analysis and its performance is also compared with those of the optimized RAs on the selected traditional substrates. Finally it may be concluded that the presented robust and systematic multi-objective design procedure is conveniently applied to the Microstrip Reflectarray RAs constructed from the advanced patches.

  1. POZAR, D. M., METZLER, T. A. Analysis of a reflectarray antenna using microstrip patches of variable size. Electronics Letters, 1993, vol. 27, p. 657–658.
  2. HUANG, J., ENCINAR, J. A. Reflectarray Antennas. Wiley-IEEE Press, 2007. ISBN: 978–0470–08491–4.
  3. NESIL, S., GUNEŞ, F., OZKAYA, U. Phase characterization of a reflectarray unit cell with Minkowski shape radiating element using Multilayer Perceptron Neural Network. In 7th International Conference on Electrical and Electronics Engineering ELECO. Dec. 2011, p. 219-222.
  4. NESIL, S., GUNEŞ, F. OZKAYA, U., TURETKEN, B. Generalized regression neural network based phase characterization of a reflectarray employing Minkowski element of variable size. In URSI. Turkey, 2011.
  5. NESIL, S., GUNEŞ, F., KAYA, G. Analysis and design of X-band reflectarray antenna using 3-D EM-based Artificial Neural Network model. In IEEE International Conference on Ultra- Wideband ICUWB. Sept. 2012, p. 532-536.
  6. GUNEŞ, F., NESIL, S., DEMIREL, S. Design and analysis of Minkowski reflectarray antenna using 3-D CST Microwave Studio-based Neural Network Model with Particle Swarm Optimization. International Journal of RF and Microwave Computer-Aided Engineering, 2013, vol. 23, p. 272–284.
  7. ZUBIR, F., RAHIM, M. K. A., AYOP, O., WAHID, A., MAJID, H. A. Design and analysis of microstrip reflectarray antenna with Minkowski shape radiating element. Progress in Electromagnetics Research B, 2010, vol. 24, p. 317–331.
  8. ZHANG, Q. J., GUPTA, K. C. Models for RF and Microwave Components. Neural Networks for RF and Microwave Design. Norwood (MA): Artech House, 2000.
  9. MATLAB and Neural Networks Toolbox Release 2012b, The MathWorks, Inc., Natick, Massachusetts, United States.
  10. KONSTANTINIDIS, A., YANG, K., CHEN, H.-H., ZHANG, Q. Energy-aware topology control for wireless sensor networks using memetic algorithms. Elsevier Computer Communications, 2007, vol. 30, no. 14, p. 2753–2764.
  11. CENGIZ, Y., KILIÇ, U. Memetic optimization algorithm applied to design microwave amplifier for the specific gain value constrained by the minimum noise over the available bandwidth. International Journal of RF and Microwave Computer-Aided Engineering, 2010, vol. 20, p. 546–556.
  12. MAHMOUD, K. R. Design optimization of a bow-tie antenna for 2.45 GHz RFID readers using a hybrid BSO-NM algorithm. Progress in Electromagnetics Research, 2010, vol. 17, p. 100-105.
  13. NELDER, J. A., MEAD, R. A simplex method for function minimization. Computer Journal, 1965, vol. 7, p. 308-313.

Keywords: Genetic and Nelder–Mead Algorithms, Minkowski, Multilayered Perceptron Neural Network (MLP), optimization, tolerance analysis, reflectarray antenna.

F. Machado, E. Vilar, F. P. Fontan, V. Pastoriza, P. Mariño [references] [full-text] [Download Citations]
Easy-to-Build Satellite Beacon Receiver for Propagation Experimentation at Millimeter Bands

This paper describes the design and development of a digital satellite beacon receiver for propagation experimentation. Satellite beacons are frequently available for pointing large antennas, but such signals can be used for measuring rain attenuation and other phenomena as, for example, tropospheric scintillation. A fairly inexpensive beacon receiver has been built using off-the-shelf parts. This instrument is not at all bulky making it suitable for easy transportation. This article analyzes the receiver specifications, describes in detail its structure and presents some operational test results.

  1. PARABONI, A., MAURI, M., BARBALISCIA, F., GIANNONE, B. First propagation measurements at 20, 40 and 50 GHz obtained in the framework of the ITALSAT experiment. In Proc. URSI Open Symposium on Wave Propagation and Remote Sensing. Ravenscar (U.K.), June 1992.
  2. CASTANET, L., DOUCHIN, N., LEMORTON, J., COLAS DES FRANCS, R., LE BOULCH, D., GRELY, S. Modelling and measurement of radiowave propagation on Earth-satellite links in the millimetre band. In Proc. AGARD/SPP Symposium. Athens (Greece), Sep. 1995.
  3. DOUCHIN, N., LEMORTON, J., SAUVAGEOT, H., MARSAULT, T. Experimental study of radiowave propagation effects in the millimetre waves band. In Proc. 10th International Conference on Antennas and Propagation. Edinburgh (U.K.), Apr. 1997.
  4. MACHADO, F., VILAR, E., FONTAN, F. P. A very short distance dynamic diversity experiment. In Proc. European Conference on Antennas and Propagation, EuCAP 2006. Nice (France), Sep. 2006, p. 1-6.
  5. KIKKERT, C. J., KENNY, O. P. A digital signal processing based Ka band satellite beacon receiver/radiometer. In Proc. IEEE International Conf. on Signal Processing and Communication – ICSPC. Gold Coast (Australia), Dec. 2008, p. 1-8.
  6. MACHADO, F., VILAR, E., MARIÑO, P., FONTAN, F. P., BLARZINO, G., CARRIE, G., CASTANET, L., LEMORTON, J. Beacon receiver developments at U. Portsmouth-U. Vigo and ONERA. In 2nd SatNex Workshop, DLR. Oberpfaffenhofen (Germany), Apr. 2008.
  7. JEANNIN, N., FONTAN, F. P., MAMETSA, H. J., CASTANET, L., LACOSTE, F. Physical-statistical model for the LMS channel at Ku/Ka band. In Proc. 5th European Conference on Antennas and Propagation, EuCAP 2011. Rome (Italy), Apr. 2011.
  8. MACHADO, F., NAVEIRAS, D., MARIÑO, P., FONTAN, F. P. An inexpensive satellite beacon receiver for propagation experimentation at Ka-band. In COST Action IC0802, Propagation Tools and Data for Integrated Telecommunication, Navigation and Earth Observation Systems, ISEA. Toulouse (France), Nov. 2009, p. 1-6.
  9. ROCHA, A., SOUSA, M., MACHADO, F., FONTAN, F. P. Beacon receivers and digital detectors solutions for Earth-satellite propagation campaigns. In Proc. 6th European Conference on Antennas and Propagation, EuCAP 2012. Prague (Czech Republic), Mar. 2012.
  10. COST ACTION IC0802. Propagation Tools / Data for Integrated Telecom, Navigation and Earth Observation. [Online] Cited 2013- 06-10. Available at: http://www.tesa.prd.fr/cost/sgmp.html
  11. CODISPOTI, G., RIVA, C., RUGGIERI, M., ROSSI, T., MARTELLUCCI, A., RIVERA-CASTRO, J., KOUDELKA, O., SCHOENUBER, M. The propagation and telecom experiments of the Alphasat Aldo payload (TDP5 Q/V band experiment). In Proc. 6th European Conference on Antennas and Propagation, EuCAP 2012. Prague (Czech Republic), Mar. 2012.
  12. Eutelsat, Satellite Data Sheet: The Hot Bird 6 Satellite, Dec. 2005.
  13. MARZANO, F. S., MONTOPOLI, M. Millimeter-wave antenna noise temperature due to rain clouds: Theoretical model and statistical prediction. In 3rd European Conference on Antennas and Propagation, EuCAP 2009. Berlin (Germany), 23-27 March, 2009, p. 2906-2910.
  14. Matra Marconi Space, Matra Marconi Space SBR 100. User Guide and Specification.
  15. Novella, Beacon Tracking Receiver Technical Notes. [Online] Cited 2013-06-10. Available at: http://www.novella.co.uk/beacon_tech.htm
  16. KOLLER, D., EDISS, G. A., KERR, A. R. Dielectric Constant of Goretex RA7956/7957 Radome Material in the Frequency Range 1 MHz-2 THz, ALMA Memo #309, May 2000. 6 pages. [Online] Cited 2013-06-10. Available at: http://www.alma.cl/almamemos/100214/memo309.pdf
  17. Flann Microwave, Lens Horn Antennas. 5 pages. [Online] Cited 2013-06-10. Available at: http://www.flann.com/Products_Home /Antennas/ Lens_Horn/FmiCat078085.pdf
  18. Norsat International Inc., LNB Ka-Band Ext. Ref 9000X (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http://www.norsat.com/wp-content/uploads/9000x_lnb.pdf
  19. Norsat International Inc., LNB KU-Band Ext. Ref. 1000X (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http://www.norsat.com/wp-content/uploads/1000xh_lnb2.pdf
  20. Wenzel Associates Inc., Small Fry OCXO (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http://www.wenzel.com /pdffiles1/Oscillators/SF.pdf
  21. AtlanTecRF. PC Programmable Sources. ANS3 Series (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http: //www.atlantecrf.com/pdf_downloads/Oscillators/PC%20Program mable%20Sources/PC_Programmable_Sources_ANS3_Series.pdf
  22. Polyphase Microwave. IRM622B Image-Reject Mixer 600- 2200 MHz (datasheet). 2 pages. [Online] Cited 2013-06-10. Available at: http://www.polyphasemicrowave.com/datasheets/IRM0622B.pdf
  23. Mini-circuits. Bandpass Filter. SBP-10.7 (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http://www.minicircuits.com/pdfs/SBP-10.7.pdf
  24. Mini-circuits. Amplifier ZFL-1000 (datasheet). 2 pages. [Online] Cited 2013-06-10. Available at: http://www.minicircuits.com/pdfs/ZFL-1000.pdf
  25. Mini-circuits. Bias-Tee ZX85-12G (datasheet). 2 pages. [Online] Cited 2013-06-10. Available at: http://www.minicircuits.com/pdfs/ZX85-12G+.pdf
  26. Mini-circuits. Power Splitter/Combiner ZFRSC-42 (datasheet). 1 page. [Online] Cited 2013-06-10. Available at: http://ww.minicircuits.com/pdfs/ZFRSC-42+.pdf
  27. RF Space. SDR-14. Software Defined Receiver & Spectrum Analyzer. 10 pages. [Online] Cited 2013-06-10. Available at: http://www.rfspace.com/support_files/SDR-14_manual.pdf
  28. Moetronix, SDR-14 Interface Specification, ver. 1.02, Apr. 2012. 19 pages. [Online] Cited 2013-06-10. Available at: http://www.moetronix.com/files/sdr14interfacespec102.pdf
  29. HOLM, S. Optimum FFT-based frequency acquisition with application to COSPASSARSAT. IEEE Trans. on Aerospace and Electronic Systems, Apr. 1993, vol. 29, no. 2, p. 464-475.
  30. ANGRISANI, L., D'APUZZO, M., VADURSI, M. Power measurement in digital wireless communication systems through parametric spectral estimation. IEEE Trans. on Instrumentation and Measurement, Aug. 2006, vol. 55, no. 4, p. 1051-1058.
  31. MOULSLEY, T. J., VILAR, E. Experimental and theoretical statistics of microwave amplitude scintillations on satellite downlinks. IEEE Trans. on Antennas and Propagation, Nov 1982, vol. 30, no. 6, p. 1099-1106.
  32. VILAR, E., FILIP, M. Measurements of 20/30 GHz amplitude scintillations. Dependence of the statistics upon the ground station measuring parameters. In Proc. Olympus Utilisation Conf. Vienna, (Austria), Apr. 1989, p. 191–197. (ESA SP-292, May 1989).
  33. AMAYA, C., NGUYEN, T., ROCHA, A., RIERA, J. M., BENARROCH, A., GARCIA-DEL-PINO, P., GARCIA-RUBIA, J. M., CARRIE, G., CASTANET, L. Joint results of 20 GHz recent earth-space propagation experiments in Canada and Europe. In Proc. 5th European Conference on Antennas and Propagation, EuCAP 2011. Rome (Italy), Apr. 2011.
  34. MANDEEP, J. S. Extracting of tropospheric scintillation propagation data from Ku-band satellite beacon. Int. Journal of Physical Sciences, June 2011, vol. 6, no. 11, p. 2649-2653.
  35. SCHONHUBER, M., TESCHL, F., HOVINEN, V., KYROLAINEN, J., FONTAN, F., PRIETO-CERDEIRA, R. An experiment and preliminary results for narrow- and wideband land mobile satellite propagation at K-band. In Proc. 4th European Conference on Antennas and Propagation, EuCAP 2010. Barcelona (Spain), Apr. 2010.

Keywords: Satellite beacon receiver, Software Defined Radio, scintillation, rain fade, vegetation attenuation.

H. Aliakbarian, E. Van der Westhuizen, R. Wiid, V. Volskiy, R. Wolhuter, G. A. E. Vandenbosch [references] [full-text] [Download Citations]
An Efficient Beam Steerable Antenna Array Concept for Airborne Applications

Deployment of a satellite borne, steerable antenna array with higher directivity and gain in Low Earth Orbit makes sense to reduce ground station complexity and cost, while still maintaining a reasonable link budget. The implementation comprises a digitally beam steerable phased array antenna integrated with a complete system, comprising the antenna, hosting platform, ground station, and aircraft based satellite emulator to facilitate convenient aircraft based testing of the antenna array and ground-space communication link. This paper describes the design, development and initial successful interim testing of the various subsystems. A two element prototype used in this increases the signal-to-noise ratio (SNR) by 3 dB which is corresponding to more than 10 times better bit error rate (BER).

  1. COLANTONIO, D., ROSITO, C. A spaceborne telemetry loaded bifilar helical antenna for LEO satellites. In Proc. SBMO/IEEE MTT-S IMOC. Brazil, Nov. 3, 2009, p. 741 - 745.
  2. REZAEI, P., ALIAKBARIAN, H., et al., Beam shaping of turnstile antenna for LEO satellite with genetic algorithm. In Proc. of 10th MMET Conference. Dniepropetrovsk (Ukraine), 2004, p. 470.
  3. BALLING, P. Analytical high-efficiency spot-beam model for high throughput satellites. Radioengineering, Dec. 2012, vol. 21, no. 4, p. 1078 - 1084.
  4. ALIAKBARIAN, H., VOLSKI, V., VAN DER WESTHUIZEN, E., WOLHUTER, R., VANDENBOSCH, G. A. E. Analogue versus digital for baseband beam steerable array used for LEO satellite applications. In Proc. of 4th EuCAP. Barcelona (Spain), 12 - 16 April 2010.
  5. AERTS, W., DELMOTTE, P., VANDENBOSCH, G. A. E. Conceptual study of analog baseband beam forming: design and measurement of an eight-by-eight phased array. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 5, p. 1667 - 1672.
  6. KRUGER, I. C., WOLHUTER, R. An aircraft based emulation platform for LEO satellite antenna beam steering. In Proc. of 5th ICSNC Conference. Nice (France), 22-27 Aug., 2010, p. 221.
  7. KRUGER I. C. An aircraft based emulation platform and control model for LEO satellite antenna beam steering. MSc Eng. Thesis. Stellenbosch University, Stellenbosch (South Africa), 2010.
  8. Handbook of Satellite Communications. International Telecommunications Union (ITU), 3rd ed. ISBN: 978-0-471-22189-0.
  9. KIM, H., LEE, B. M., YOON, Y. J. A single-feeding circularly polarized misrostrip antenna with the effect of hybrid feeding. IEEE Antennas Wireless Propagat. Letters, 2003, vol. 2, p. 74 - 77.
  10. CST Microwave Studio 2010. CST Computer Simulation Technology AG. [Online] Available at: www.cst.com.
  11. RAZAVI, B. Design considerations for direct-conversion receivers. IEEE Trans. Circuit and Systems-II, June. 1997, vol. 44, no. 6, p. 428 - 435.
  12. Sunspace, Innovative Satellite Solutions. Stellenbosch, South Africa. [Online] Available at: www.sunspace.co.za.
  13. BOTHA, J. S. A reusable signal processing architecture for satellite based communication systems. M.Sc Eng. Thesis, University of Stellenbosch, Stellenbosch (South Africa), 2011.
  14. Space Engineering Standards: Recommendations for CAN Bus in Spacecraft Onboard Applications. ECSS Standard E-50, May 2005.
  15. VAN DER WESTHUIZEN, E., VAN ROOYEN, G. J. Baseband carrier recovery and phase tracking as a Doppler compensation technique for a zero-IF SDR. In Proc. of SATNAC’09. Swaziland, 2009.
  16. VAN ROOYEN, G. J., LOURENS, J. G. A non-iterative I/Q imbalance compensation technique for quadrature mixing receivers. In Proc. of IEEE WCNM 2005. China, 23-26 Sept, 2005, p. 598 – 601.
  17. DE WITT J. J. Modeling estimation and compensation of imbalances in quadrature transceivers. PhD Dissertation. Stellenbosch University, Stellenbosch (South Africa), 2011.
  18. ALIAKBARIAN, H., XU, H., VANDENBOSCH, G. A. E. Simple technique to predict beam direction based on element pattern and array factor in small- and medium-sized arrays. IEEE Antennas Wireless Propagat. Letters, 2012, vol. 11, p. 763 - 766.

Keywords: Space deployed phased array antenna, beam steering, DSP software defined radio modem, embedded FPGA channel coding

M. Khalily, M. R. Kamarudin, M. Mokayef, Sh. Danesh, S. E. A. Ghahferokhi [references] [full-text] [Download Citations]
A New Wideband Circularly Polarized Dielectric Resonator Antenna

A wideband and compact circularly polarized (CP) C-shaped dielectric resonator antenna (DRA) is presented. The proposed C-shaped DR is excited by a simple stripe line connected to a coplanar waveguide (CPW) feeding line. The C-shaped DRA is circularly polarized with 19% axial ratio (AR) bandwidth. It is found that the CP bandwidth can be expanded by using a narrow short circuit strip. The final design achieves CP with 50% AR bandwidth. The proposed circularly polarized DRA (CPDRA) with good radiation characteristics offers an impedance bandwidth of 58% between 3.45 and 6.26 GHz for VSWR ≤ 2. The proposed DRA is fabricated and tested. Very good agreement between simulated and measured results is obtained.

  1. MASSIE, G., CAILLET, M., ANTAR, Y. M. M. A new wideband circularly polarized hybrid dielectric resonator antenna. IEEE Antennas Wireless Propag. Lett., 2010, vol. 9, p. 347-350.
  2. RYU, K. S., KISHK, A. A. Ultra wideband dielectric resonator antenna with broadside patterns mounted on a vertical ground plane edge. IEEE Trans. on Antennas and Propagations, 2010, vol. 58, no. 4, p. 1047-1053.
  3. KHALILY, M., RAHIM, M. K. A., KISHK, A. A. Planar wideband circularly polarized antenna design with rectangular ring dielectric resonator and parasitic printed loops. IEEE Antennas Wireless Propag. Lett., 2012, vol. 11, p. 905-908.
  4. KHALILY, M., RAHIM, M. K. A., KISHK, A. A., DANESH, SH. Wideband dielectric resonator antenna design. Radioengineering, 2013, vol. 22, no. 1, p. 281–285.
  5. KHALILY, M., RAHIM, M. K. A., KISHK, A. A. Bandwidth enhancement and radiation characteristics improvement of rectangular dielectric resonator antenna. IEEE Antennas Wireless Propag. Lett., 2011, vol. 10, p. 393-395.
  6. LIM, E. H., LEUNG, K. W., FANG, X. S. The compact circularlypolarized hollow rectangular dielectric resonator antenna with an underlaid quadrature coupler. IEEE Trans. on Antennas and Propagations, 2011, vol. 51, no. 1, p. 288-293.
  7. SULAIMAN, M. I., KHAMAS, S. K. A singly fed wideband circularly polarized dielectric resonator antenna using concentric open half-loops. IEEE Antennas Wireless Propag. Lett., 2011, vol. 10, p. 1305-1308.
  8. PERRON, A., DENIDNI, T., SEBAK, A. R. Circularly polarized microstrip/elliptical dielectric ring resonator antenna for millimeter-wave applications. IEEE Antennas Wireless Propag. Lett., 2010, vol. 9, p. 783-786.
  9. WONG, W. C., LEUNG, K. W. Circularly polarized dielectric resonator antenna excited by dual conformal strip of unequal lengths. Microw. Opt. Technol. Lett., 2001, vol. 29, p. 348–350.
  10. KISHK, A. A. An elliptic dielectric resonator antenna designed for circular polarization with single feed. Microw. Opt. Technol. Lett., 2003, vol. 37, p. 454–456.
  11. LI, B., LENG, K. W. Strip-fed rectangular dielectric resonator antenna with/without a parasitic patch. IEEE Trans. on Antennas and Propagations, 2005, vol. 53, no. 7, p. 2200-2207.
  12. LEUNG, K. W., NG, H. K. Theory and experiment of circularly polarized dielectric resonator antenna with a parasitic patch. IEEE Trans. on Antennas and Propagations, 2003, vol. 51, no. 3, p. 405 to 412.
  13. CHAIR, R., KISHK, A. A. Aperture fed wideband circularly polarized rectangular stair shaped dielectric resonator antenna. IEEE Trans. Antennas Propagations, 2006, vol. 54, p. 1350-1352.
  14. CST: Microwave Studio based on the finite integration technique, 2012.

Keywords: Dielectric Resonator Antenna (DRA), Circularly Polarized (CP), Axial Ratio (AR) bandwidth, Coplanar Waveguide (CPW)-fed.

Junseok Lim, Heesuk Pang, Wooyoung Hong [references] [full-text] [Download Citations]
ELM (Extreme Learning Machine) Based Correlated Interference Canceller for Small Aperture Array Antenna

The multipath effect creates a highly correlated interference. Subsequently, small aperture array antennas equipped in mobile devices must be able to effectively cancel this coherent interference. Spatial smoothing MMSE is a typical coherent interference cancellation algorithm; however, this method further reduces the small aperture size and reduces the number of coherent interferences to cancel out. This paper proposes a new method to reject coherent interferences without a reduction in the antenna aperture size. We show the superiority of the proposed algorithm through a comparison of cancellation performance with existing adaptive beamforming algorithms.

  1. DIETRICH, J., STUTZMAN, W., KIM, B., DIETZE, K. Smart antennas in wireless communications: Base-station diversity and handset beamforming. IEEE Antennas and Propagation Magazine, 2000, vol. 42, no. 5, p. 142 - 151.
  2. JANG, Y. K., VILLASENOR, J. D. SINR improvement through reconfigurable antenna adaptation to handheld device orientation. In Antennas and Propagation Society International Symposium (APSURSI). Toronto (Canada), 2010, p. 1 - 4.
  3. IDA, Y., AHN, C. J., KAMIO, T., FUJISAKA, H., HAEIWA, K. An interference cancellation scheme for TFI-OFDM in time-variant large delay spread channel. Radioengineering, 2009, vol. 18, no. 1, p. 75 - 82.
  4. KEJIK, P., HANUS, S. Enhanced receivers for interference cancellation in 3G systems. Radioengineering, 2009, vol. 18, no. 4, p. 477 - 484.
  5. JOSHI, G. G., DIETRICH, C. B. Jr., STUTZMAN, W. L. Adaptive beamforming measurements using four-element portable and mobile arrays. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 12, p. 4065 - 4072.
  6. SONG JOON-IL, LIM JUN-SEOK, CHOI NAKJIN, SUNG KOENG-MO Adaptive moving jammer cancellation algorithm for small aperture array. In IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). Orlando (USA), 2002, vol. 4, p. IV-4187.
  7. VUCETIC, B., YUAN, J. Space-time coding. Handbook of Communication. Chichester (England): Wiley, 2003.
  8. TAROKH, V., NAGUIB, A., SESHADRI, N., CALDERBANK, A. R. Space-time codes for high data rate wireless communications: Performance criteria in the presence of channel estimation errors, mobility, and multiple paths. IEEE Transactions on Communications, 1999, vol. 47, no. 2, p. 199 - 207.
  9. JUNTTI, M., VEHKAPERA, M., LEINONEN, J., ZEXIAN, V., TUJKOVIC, D., TSUMURA, S., HERA, S. MIMO MC-CDMA communications for future cellular systems. IEEE Communications Magazine, 2005, vol. 43, no. 2, p. 118 - 124.
  10. LI, J., LETAIEF, K. B., CAO, Z. Co-channel interference cancellation for space-time coded OFDM systems. IEEE Transactions on Wireless Communications, 2003, vol. 2, no. 1, p. 41 - 49.
  11. SULEESATHIRA, R. Co-channel interference cancellation for space-time coded OFDM systems using adaptive beamforming and null deepening. Journal of Telecommunications, 2010, vol. 1, no. 1, p. 6 - 13.
  12. OLADUNNI, F. J., STUART, J. W. Empirical performance evaluation of enhanced throughput schemes of IEEE802.11 technology in wireless area networks. International Journal of Wireless and Mobile Networks (IJWMN), 2013, vol. 5, no. 4, p. 171 - 185.
  13. OLADUNNI, F. J., STUART, J. W. Investigation of beam forming effectiveness In IEEE802.11ac indoor wireless links. In Proceedings of 3rd International Conference on Computer Science and Information Technology (CCSIT 2013). Bangalore (India), 2013, p. 27 - 35.
  14. BALTZIS, K. B. Spatial characterization of the uplink inter-cell interference in polygonal-shaped wireless networks. Radioengineering, 2013, vol. 22, no. 1, p. 363 - 370.
  15. GLEISSNER, F., HANUS S. Co-channel and adjacent channel interference measurement of UMTS and GSM/EDGE systems in 900 MHz radio band. Radioengineering, 2008, vol. 17, no. 3, p. 74 - 80.
  16. GROSS, F. Smart Antenna for Wireless Communication. New York (USA): McGraw-Hill, 2005.
  17. EVANS, J. E., JOHNSON, J. R., SUN, D. F. Applications of Advanced Signal Processing Techniques to Angle of Arrival Estimation in ATC Navigation and Surveillance System, rep. 582. Lexington (MA, USA): Lincoln Lab, 1982.
  18. REDDY, V. U., PAULRAJ, A., KAILIATH, T. Performance analysis of the optimum beamformer in the presence of correlated sources and its behavior under spatial smoothing. IEEE Transactions on Acoustics, Speech and Signal Processing, 1987, vol. 35, p. 927 - 936.
  19. LO, T., LEUNG, H., LITVA, J. Non linear beam forming. Electronics Letters, 1991, vol. 27, no. 4, p. 350 - 352.
  20. CESAR, C., GAUDES, J. V., SANTAMARIA, I. Robust array beamforming with sidelobe control using support vector machines. In Fifth IEEE Workshop on Signal Processing Advances in Wireless Communications. Lisbon (Portugal), 2004, p. 11 - 14.
  21. MOHAMMADZADEH, B., MAHLOOJIFA, A. Evaluation of the SVM-based adaptive beamformer in mismatch and no-mismatch scenarios. In 3rd International Sympostiuym on Communications, Control and Signal Processing (ISCCSP). St. Julians (Malta), 2008, p. 1127 - 1132.
  22. LIN, G., LI, Y., JIN, B. A new algorithm for robust adaptive beamforming. In International Conference on Computer, Mechatronics, Control and Electronic Engineering (CMCE). Changchun (China), 2010, p. 104 - 107.
  23. WANG, L., JIN, G., LI, Z., XU, H. A nonlinear adaptive beamforming algorithm based on least squares support vector regression. Sensors, 2012, vol. 12, p. 12424 - 12436.
  24. HUANG, G. B. , WANG, D. H., LAN, Y. Extreme learning machines: A survey. International Journal of Machine Leaning and Cybernetics, 2011, vol. 2, no. 2, p. 107 - 122.
  25. HUANG, G. B. , ZHU, Q. Y. , SIEW, C. K. Extreme learning machine: Theory and applications. Neurocomputing, 2006, vol. 70, no. 12, p. 1 - 3.
  26. HUANG, G. B., ZHU, Q. Y., SIEW, C. K. Extreme learning machine: A new learning scheme of feedforward neural networks. In Proceedings of International Joint Conference on Neural Networks. Budapest (Hungary), 2004, p. 25 - 29.
  27. LIANG, N.-Y., HUANG, G. B., SARATCHANDRAN, P., SUNDARARAJAN, N. A fast and accurate online sequential learning algorithm for feedforward networks. IEEE Transactions on Neural Networks, 2006, vol. 17, no. 6, p. 1411 - 1423.
  28. LIM, J. S., JEON, J., LEE, S. Recursive complex extreme learning machine with widely linear processing for nonlinear channel equalizer. Lecture Notes in Computer Science, 2006, vol. 3973, p. 128 - 134.
  29. LIM, J. S. Partitioned online sequential extreme learning machine for large ordered system modeling. Neurocomputing, 2013, vol. 102, no. 11, p. 59 - 64.
  30. LIM, J. S., LEE, S. J., PANG, H. S. Low complexity adaptive forgetting factor for online sequential extreme learning machine (OSELM) for application to nonstationary system estimations. Neural Computing and Applications, 2013, vol. 22, no. 3, p. 569 - 576.
  31. HAYKIN S. Adaptive Filter Theory. 4th Fourth edition. New Jersey (USA): Prentice Hall, 2002.
  32. SAVITHA, R., VIGNESWARAN, S., SURESH, S., SUNDARARAJAN, N. Adaptive beamforming using complex-valued radial basis function neural networks. In IEEE Region 10 Conference TENCON. Singapore, 2009, p. 1 - 6.
  33. SAVITHA, R., SURESH, S., SUNDARARAJAN, N., KIM, H. J. A fully complex-valued radial basis function classifier for realvalued classification problems. Neurocomputing, 2012, vol. 78, no. 1, p. 104 - 110.

Keywords: Coherent interference cancellation, mall aperture array antenna, ELM, MMSE, spatial smoothing

V. Sharma, M. M. Sharma [references] [full-text] [Download Citations]
Dual Band Circularly Polarized Modified Rectangular Patch Antenna for Wireless Communication

A dual band circularly polarized single-feed microstrip antenna for wireless communication systems is proposed here and its performance is tested in free space. This modified rectangular microstrip antenna having one protruded curved edge is simulated by using the IE3D simulation software. In between conducting patch and ground plane, designed antenna has two layers of glass epoxy FR-4 substrates separated by a thin layer of foam substrate. This designed antenna operates at two frequencies 3.10GHz and 3.55GHz and presents circularly polarized performance in far-field region. The measured impedance bandwidth of designed antenna is 26% (0.846 GHz) with respect to the central frequency3.31GHz. The axial ratio bandwidth at two frequencies 3.10GHz and 3.55GHz is close to 1.36% & 2.21% respectively. The measured E plane co and cross radiation patterns in entire impedance bandwidth are identical in shape and direction of maximum radiations is normal to patch geometry as the losses in cavity has reduces as the quality factor of the cavity is decreased.

  1. WONG, K. L. Compact and Broadband Microstrip Antennas. John Wiley & Sons, 2003.
  2. ZURCHER, J. F., GARDIOL, F. E. Broadband Patch Antennas. Norwood: Artech House, 1995.
  3. PUES, H. F., VAN DE CAPELLE, A. R. An impedance matching technique for increasing the bandwidth of microstrip antennas. IEEE Trans. Antennas Propagation, 1989, vol. 37, p. 1345-1354.
  4. YANG, F., ZHANG, X. X., YE, X., RAHMAT-SAMII, Y. Wideband E-patched patch antenna for wireless communications. IEEE Trans. Antennas Propagation, 2001, vol. 49, p. 1094 -1100.
  5. CHANG, E., LONG, S. A., RICHARDS, W. F. Experimental investigation of electrically thick rectangular microstrip antennas. IEEE Trans. Antennas Propagation, 1986, vol. 34, p. 767-772.
  6. SHARMA, V., SAXENA, V. K., SHARMA, K. B., BHATNAGAR, D. Radiation performance of circularly polarized broadband gap coupled elliptical patch antenna. Frequenz - Journal of RF-Engineering and Telecommunications, 2012, vol. 66, no. 3, p. 69-74.
  7. SHARMA, V., SAXENA, V. K., SHARMA, K. B., BHATNAGAR, D. Radiation performance of an elliptical patch antenna with three orthogonal sector slots. Romanian Journal of Information Science and Tech., 2011, vol. 14, no. 2, p. 123 -130.
  8. SHARMA, V., SAXENA, V. K., SAINI, J. S., BHATNAGAR, D., SHARMA, K. B., JOSHI, L. M. Broadband gap coupled assembly of patches forming elliptical patch antenna. Microwave and Optical Technology Letters, 2011, vol. 5 no. 2, p. 340 -344.
  9. WU, C. K., WONG, K. L. Broadband microstrip antenna with directly coupled and gap-coupled parasitic patches. Microwave Opt. Technology Letter, 1999, vol. 22, p. 348 - 349.
  10. GONZALEZ DE AZA, M. A., ZAPATA, J., ENCINAR, J. A. Broadband cavity-backed and capacitively probe-fed microstrip patch arrays. IEEE Transaction Antennas Propagation, 2001, vol. 48, p. 784 -789.
  11. GUO, Y. X., MAK, C. L., LUK, K. M., LEE, K. F. Analysis and design of L-probe proximity fed patch antenna. IEEE Trans. Antennas Propagation, 2001, vol. 49, p. 145 -149.
  12. LIU, Y., LAU, K. L., XUE, Q., CHAN, C. H. Experimental studies of printed wide-slot antenna for wide-band applications. IEEE Transaction on Antenna Wireless Propagation Letter, 2004, vol. 3, p. 273 - 275.
  13. LI, P., LIANG, J., CHEN, X. Ultra-wide band elliptical slot antenna fed by tapered microstrip line with U-shaped tuning stub. Microwave and Optical Tech. Letters, 2005, vol. 47, p. 140 -143.
  14. SHARMA, V, SAXENA, V. K., SAINI, J. S., BHATNAGAR, D., SHARMA, K. B., PAL, D., JOSHI, L. M. Wide band dual frequency right triangular microstrip antenna with parallel narrow slits. Microwave and Optical Technology Letter, 2010, vol. 52, p. 1082 -1087.
  15. LEE, K. F., DAHELE, J. S. Mode characteristics of annular-ring and circular disc microstrip antenna with and without air gaps. IEEE Antenna Propagation. SOC. Int. Sum. Digest, 1983, p. 55 to 58.
  16. LIAO, W., CHU, Q.X. Dual-band circularly polarized microstrip antenna with small frequency ratio. Progress In Electromagnetics Research Letters, 2010, vol. 15, p. 145-152.
  17. NAYERI, P., LEE, K. F., ELSHERBENI, A. Z., YANG, F., Dualband circularly polarized antennas using stacked patches with asymmetric U-slots. IEEE Transaction on Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 285-288.
  18. HEIDARI, A. A., HEYRANI, M., NAKHKASH, M. A dual-band circularly polarized stub loaded microstrip patch antenna for GPS applications. Progress In Electromagnetics Research, 2009, vol. 92, p. 195–208.
  19. ZAKARIA, N., RAHIM, S. K. A., OOI, T. S., TAN, K. G., REZA, A. W., RANI, M. S. A. Design of stacked microstrip dual-band circular polarized antenna. Radioengineering, 2012, vol. 21, p. 875-880.
  20. NOGHABAEI, S. M., RAHIM, S. K. A., SOH, P. J., ABEDIAN, M., VANDENBOSCH, G. A. E. A dual-band circularly-polarized patch antenna with a novel asymmetric slot for WiMAX application. Radioengineering, 2013, vol. 22, no. 1, p. 291–295.
  21. BALANIS, C. A. Antenna Theory. 2nd edition. John Wiley&Sons, 1997.
  22. IE3D Software, Release 8, Zeland Software, Inc., Freemont, USA.
  23. CARVER, K. R. Practical analytical techniques for the microstrip antenna. In Proc. Workshop Printed Circuit Antenna Tech.. New Mexico State University, Las Cruces, 1979, p.7.

Keywords: Microstrip antenna, dual frequency, multilayer, broadband, circularly polarized

M. Sumi, R. Dinesh, C. M. Nijas, S. Mridula, P. Mohanan [references] [full-text] [Download Citations]
Frequency Coded Chipless RFID Tag using Spurline Resonators

A novel compact chipless RFID tag using spurline resonators is discussed in this paper. The detection of the tag's ID is using the spectral signature of a spurline resonator circuit. The tag has a data capacity of 8-bits in the range 2.38 to 4.04 GHz. The tag consists of a spurline multiresonating circuit and two cross polarised antennas. The prototype of the tag is fabricated on a substrate C-MET/LK4.3 of dielectric constant 4.3 and loss tangent 0.0018. The measured results show that group delay response can also be used to decode the tag’s identity.

  1. FINKENZELLER, K. RFID Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification. New York: Wiley, 2003.
  2. WANT, R. The magic of RFID. Intel Research, QUEUE, Oct. 2004, p. 41-48.
  3. PRERADOVIC, S., KARMAKAR, N. C. Chipless RFID: Bar code of the future. IEEE Microwave Magazine, Dec 2010, p. 87-96.
  4. NIJAS, C M., DINESH, R., DEEPAK, U., ABDUL RASHEED, MRIDULA, S., VASUDEVAN, K., MOHANAN, P. Chipless RFID tag using multiple microstrip open stub resonators. IEEE Transactions on Antennas and Propagation, Sept. 2012, vol. 60, p. 4429 – 4432.
  5. CASULA, G A., MONTISCIA, G., MAXIAA, P., MAZZARELLA, G. A narrowband chipless multiresonator tag for UHF RFID. Journal of Electromagnetic Waves and Applications, 2014, vol. 28, no. 2, p. 214-227.
  6. VENA, A., PERRET, E., TEDJINI, S. High capacity chipless RFID tag insensitive to the polarization. IEEE Transactions on Antennas and Propagation, Oct. 2012, vol. 60, no. 10, p. 4509– 4515.
  7. GIRBAU, D., LORENZO, J., LAZARO, A., FERRATER, C. Frequency-coded chipless RFID tag based on dual-band resonators. IEEE Antennas and Wireless Propagation Letters, Jan. 2012, vol. 11, no. 4, p. 126–128.
  8. KALANSURIYA, P., KARMAKAR, N. C., VITERBO, E. On the detection of frequency-spectra-based chipless RFID using UWB impulse interrogation. IEEE Transactions on Microwave Theory and Techniques, Dec. 2012, vol. 60, no. 12, p. 4187–4197.
  9. EL MATBOULY, H., BOUBEKEUR, N., DOMINGUE F. A novel chipless identification tag based on a substrate integrated cavity resonator. IEEE Microwave Wireless Component Letters, Jan. 2013, vol. 23, no. 1, p. 52–54.
  10. HAIWEN LIU, RUI CAO, MANQING WU. Harmonics suppression of Wilkinson power divider using spurlines with adjustable rejection bands. In 2008 IEEE MTT-S International Microwave Symposium Digest, 2008, vol. 1, p. 189-192.
  11. CHONGCHEAWCHAMNAN, SHAFIQUE, M., ROBERTSON, I. D. Miniaturisation and electronic tuning techniques for microstrip spurline filters. IET Microwaves, Antennas & Propagation, 2011, vol. 5, p. 1-9.
  12. BATES, R. N. Design of microstrip spurline bandstop filters. IEEE Journal on Microwave Optics and Acoustics, Nov. 1977, vol. 1, no. 6, p. 209-214.
  13. NGUYEN, C., HSIEH, C., BALL, D.W. Millimeter wave printed circuit spurline filter. In IEEE MTT-S Inernational Microwave Symposium Digest, 1983, p. 98-10.
  14. SCHIFFMAN, B. M., MATTHAEI, G. L. Exact design of bandstop microwave filters. IEEE Transactions on Microwave Theory and Techniques, Jan. 1964, p. 6-15.
  15. SUMA, M. N., ROHITH K.RAJ., MANOJ JOSEPH., BYBI P.C., P. MOHANAN. A compact dual band planar branched monopole antenna for DCS/2.4GHz WLAN applications. IEEE Microwave and Wireless Components Letters, May 2006, vol. 16, no. 5, p. 275-277.
  16. PRERADOVIC, S., KARMAKAR, N. C. Multiresonator-based chipless RFID system for low-cost item tracking. IEEE Transactions on Microwave Theory and Techniques, May 2009, vol. 57, no. 5, p. 1411-1419.
  17. VENA, A., PERRET, E., TEDJINI, S. RFID chipless tag based on multiple phase shifters. In IEEE MTT-S International Microwave Symposium. June 2011, p.1-4.
  18. HAIWEN LIU, LINGLING SUN, ZHIGUO SHI. Dual bandgap characteristics of spurline filter and its circuit modelling. Microwave Optical Technology Letters, Nov 2007, vol. 49, no. 11, p. 2805–2807.

Keywords: Band-stop filters (BSF), chipless RFID tag, folded monopole antenna, group delay, spurline resonator.

J. Wang, H. Ning, Q. Xiong, L.F. Mao [references] [full-text] [Download Citations]
A Compact Narrow-Band Bandstop Filter Using Spiral-Shaped Defected Microstrip Structure

A novel compact narrow-band bandstop filter is implemented by using the proposed spiral-shaped defected microstrip structure (SDMS) in this paper. Compared with other DMSs, the presented SDMS exhibits the advantage of compact size and narrow stopband. Meanwhile, an approximate design rule of the SDMS is achieved and the effects of the dimensions on the resonant frequency and 3 dB fractional bandwidth (FBW) are analyzed in detail. Both the simulation and measurement results of the fabricated bandstop filter show that it has a 10 dB stopband from 3.4 GHz to 3.6 GHz with more than 45 dB rejection at the center frequency.

  1. AHN, D., PARK, J.-S, KIM, C.-S, KIM, J., QIAN, Y., ITOH, T. A design of the low-pass filter using the novel microstrip defected ground structure. IEEE Trans. on Microwave Theory and Techniques, 2001, vol. 49, no. 1, p. 86-92.
  2. PARUI, S. K., DAS, S. Modeling of modified split-ring type defected ground structure and its application as bandstop filter. Radioengineering, 2009, vol. 18, no. 2, p. 149-154.
  3. KIM, C., LIM, J., NAM, S., KANG, K., PARK, J., KIM, G., AHN, D. The equivalent circuit modeling of defected ground structure with spiral shape. In IEEE MTT-S International Microwave Symposium. Seattle (USA), 2002, p. 2125–2128.
  4. WOO, D., LEE, T., LEE, J., PYO, C., CHOI, W. Novel U-slot and V-slot DGSs for bandstop filter with improved Q factor. IEEE Trans. on Microwave Theory and Techniques, 2006, vol. 54, no. 6, p. 2840–2847.
  5. HUANG, S.-Y., LEE, Y.-H. A compact E-shaped patterned ground structure and its application to tunable bandstop resonator. IEEE Trans. on Microwave Theory and Techniques, 2009, vol. 57, no. 3, p. 657–666.
  6. KAZEROONI, M., CHELDAVI, A. Simulation, analysis, design and applications of array defected microstrip structure (ADMS) filters using rigorously coupled multi-strip (RCMS) method. Progress in Electromagnetics Research, PIER, 2006, vol. 63, p. 193-207.
  7. TIRADO-MENDEZ, J. A., JARDON-AGUILAR, H., et al. A proposed defected microstrip structure (DMS) behavior for reducing rectangular patch antenna size. Microwave and Optical Technology Letter, 2004, vol. 43, no. 6, p. 481-484.
  8. ZHANG, S., XIAO, J.-K., WANG, Z.-H., LI, Y. Novel low pass filters using a defected microstrip structure. Microwave Journal, 2006, vol. 49, p. 118-128.
  9. FALLAHZADEH, S., TAYARANI, M. A new microstrip UWB bandpass filter using defected microstrip structures. Journal of Electromagnetic Waves and Applications, 2010, vol. 24, p. 893 to 902.
  10. LA, D., LU, Y., SUN, S., LIU, N., ZHANG, J. A novel compact bandstop filter using defected microstrip structure. Microwave and Optical Technology Letter, 2011, vol. 53, no. 2, p. 433-435.
  11. JANASWAMY, R., SCHAUBERT, D. H. Characteristic impedance of a wide slotline on low-permittivity substrates. IEEE Trans. on Microwave Theory and Techniques, 1986, vol. 34, no. 6, p. 900–902.
  12. KIM, H.-M., LEE, B. Bandgap and slow/fast-wave characteristics of defected ground structures (DGSs) including left-handed features. IEEE Trans. on Microwave Theory and Techniques, 2006, vol. 54, no. 7, p. 3113–3120.

Keywords: Bandstop filter, defected microstrip structure (DMS), microstrip.

J. L. Li, W. Shao, J. P. Wang, X. S. Yang, S. S. Gao [references] [full-text] [Download Citations]
Microwave Slow-Wave Structure and Phase-Compensation Technique for Microwave Power Divider

In this paper, T-shaped electromagnetic bandgap is loaded on a coupled transmission line itself and its electric performance is studied. Results show that microwave slow-wave effect can be enhanced and therefore, size reduction of a transmission-line-based circuit is possible. However, the transmission-line-based circuits characterize varied phase responses against frequency, which becomes a disadvantage where constant phase response is required. Consequently, a phase-compensation technique is further presented and studied. For demonstration purpose, an 8-way coupled-line power divider with 22.5 degree phase shifts between adjacent output ports, based on the studied slow-wave structure and phase-compensation technique, is developed. Results show both compact circuit architecture and improved phase imbalance are realized, confirming the investigated circuit structures and analyzing methodologies.

  1. VELAZQUEZ-AHUMADA, M. C., MARTEL, J., MEDINA, F. Parallel coupled microstrip filters with floating ground-plane conductor for spurious-band suppression. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 5, p. 1823-1828.
  2. MORRADIAN, M., TAYARANI, M. Spurious-response suppression in microstrip parallel-coupled bandpass filters by grooved substrates. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 7, p. 1707-1713.
  3. LU, J. C., LIAO, C. K., CHANG, C. Y. Microstrip parallelcoupled filters with cascade trisection and quadruplet responses. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 9, p. 2101-2110.
  4. PACO, P. D., VERDU, J., MENENDEZ, O., CORRALES, E. Branch-line coupler based on edge-coupled parallel lines with improved balanced response. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 12, p. 2936-2941.
  5. LIANG, C. H., CHANG, W. S., CHANG, C. Y. Enhanced coupling structures for tight couplers and wideband filters. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 3, p. 574-583.
  6. HSU, S. K., YEN, J. C., WU, T. L. A novel compact forward-wave directional coupler design using periodical patterned ground structure. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 5, p. 1249-1256.
  7. CHENG, K. K. M., YEUNG, S. A novel dual-band 3-dB branchline coupler design with controllable bandwidths. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 10, p. 3055-3041.
  8. WANG, X. L., SAKAGAMI, I., TAKAHASHI, K., OKAMURA, S. A generalized dual-band Wilkinson power divider with parallel L, C, and R components. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 4, p. 952-964.
  9. DENG, P. H., DAI, L. C. Unequal Wilkinson power dividers with favorable selectivity and high-isolation using coupled-line filter transformers. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 6, p. 1520-1529.
  10. KAO, J. C., TSAI, Z. M., LIN, K. Y., WANG, H. A modified Wilkinson power divider with isolation bandwidth improvement. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 9, p. 2768-2780.
  11. XU, Y. S., BOSISIO, R. G. Design of multiway power divider by using stepped-impedance transformers. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 9, p. 2781 to 2790.
  12. PARK, J. H., LEE, Y. Improved capacitive loading method for miniaturization of 0 dB forward-wave directional couplers. IEEE Microwave and Wireless Components Letters, 2011, vol. 21, no. 4, p. 191-193.
  13. TSENG, C. H., CHANG, C. L. A rigorous design methodology for compact planar branch-line and rat-race couplers with asymmetrical T-structures. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 7, p. 2085-2092.
  14. WANG, S. M., CHI, C. H., HSIEH, M. Y., CHANG, C. Y. Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 2, p. 747-753.
  15. WANG, C. C., CHIU, H. C., MA, T. G. A slow-wave multilayer synthesized coplanar waveguide and its applications to rat-race coupler and dual-mode filter. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 7, p. 1719-1730.
  16. KESHAVARZ, R., MOVAHHEDI, M., HAKIMI, A. A compact 0-dB coupled-line forward coupler by loading shunt periodic stubs. In Proceedings of Asia-Pacific Microwave Conference. Yokohama (Japan), 2010, p. 1248-1251.
  17. MULLER, J., PHAM, M. N., JACOB, A. F. Directional coupler compensation with optimally positioned capacitances. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 11, p. 2824-2832.
  18. HSU, S. K., TSAI, C. H., WU, T. L. A novel miniaturized forwardwave directional coupler with periodical mushroom-shaped ground plane. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 8, p. 2277-2283.
  19. LI, J. L., QU, S. W., XUE, Q. Capacitively loaded Wilkinson power divider with size reduction and harmonic suppression. Microwave and Optical Technology Letters, 2007, vol. 49, no. 11, p. 2737-2739.
  20. MIRZAVAND, R., HONARI, M. M., ABDIPOUR, A., MORADI, G. Compact microstrip Wilkinson power dividers with harmonic suppression and arbitrary power division ratios. IEEE Transactions on Microwave Theory and Techniques, 2013, vol. 61, no. 1, p. 61 to 68.
  21. LI, J. L., WANG, B. Z. Novel design of Wilkinson power dividers with arbitrary power division ratios. IEEE Transactions on Industrial Electronics, 2011, vol. 58, no. 6, p. 2541-2546.
  22. XUE, Q., SHUM, K. M., CHAN, C. H. Novel 1-D microstrip PBG cells. IEEE Microwave and Guided Wave Letters, 2000, vol. 10, no. 10, p. 403-405.
  23. GU, J. Z., SUN, X. W. Miniaturization and harmonic suppression rat-race coupler using C-SCMRC resonators with distributive equivalent circuit. IEEE Microwave and Wireless Components Letters, 2005, vol. 15, no. 12, p. 880-882.
  24. ZHANG, F., GU, J. Z., GU, C. Y., SHI, L. N., LI, C. F., SUN, X. W. Lowpass filter with in-line beeline CMRC. Electronics Letters, 2006, vol. 42, no. 8, p. 472-474.
  25. HAYATI, M., LOTFI, A. Compact lowpass filter with high and wide rejection in stopband using front coupled tapered CMRC. Electronics Letters, 2010, vol. 46, no. 12, p. 846-848.
  26. SHUM, K. M., MO, T. T, XUE, Q., CHAN, C. H. A compact bandpass filter with two tuning transmission zeros using a CMRC resonator. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 3, p. 895-900.
  27. SHUM, K. M., LUK, W. T., CHAN, C. H., XUE, Q. A UWB bandpass filter with two transmission zeros using a single stub with CMRC. IEEE Microwave and Wireless Components Letters, 2007, vol. 17, no. 1, p. 43-45.
  28. CHEN, S. C., XUE, Q. A class-F power amplifier with CMRC. IEEE Microwave and Wireless Components Letters, 2011, vol. 21, no. 1, p. 31-33.
  29. PIERNAS, B., HAYASHI, H., NISHIKAWA, K., NAKAGAWA, T. Improvement of the design of 180 rat-race hybrid. Electronics Letters, 2000, vol. 36, no. 12, p. 1035-1036.
  30. EOM, S. Y., JEON, S. I., CHAE, J. S., YOOK, J. G. Broadband 180 bit phase shifter using a new switched network. In 2003 MTT-S Dig., 2003, p. 39-42.
  31. WANG, X. Y., LI, J. L., SHAO, W. Flexible design of a compact coupled-line power divider. Journal of Electromagnetic Waves and Applications, 2011, vol. 25, no. 10, p. 2168-2177.
  32. CHANG, K. Microwave Ring Circuits and Antennas. John Willey & Sons, Inc., 1996, p. 22-25.
  33. CHEN, C. H., TZUANG, C. K. C. Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits. IEEE Transactions on Microwave Theory and Techniques, 2004, vol. 52, no. 6, p. 1637-1647.

Keywords: Slow wave, phase imbalance, phase compensation, microwave circuit, microwave power divider

S. Li, X. Cao, T. Liu, H. Yang [references] [full-text] [Download Citations]
Double-layer Perfect Metamaterial Absorber and Its Application for RCS Reduction of Antenna

To reduce the radar cross section (RCS) of a circularly polarized (CP) tilted beam antenna, a double-layer perfect metamaterial absorber (DLPMA) in the microwave frequency is proposed. The DLPMA exhibits a wider band by reducing the distance between the three absorption peaks. Absorbing characteristics are analyzed and the experimental results demonstrate that the proposed absorber works well from 5.95 GHz to 6.86 GHz (relative bandwidth 14.1%) with the thickness of 0.5 mm. Then, the main part of perfect electric conductor ground plane of the CP tilted beam antenna is covered by the DLPMA. Simu¬lated and experimental results reveal that the novel antenna performs well from 5.5 GHz to 7 GHz, and its monostatic RCS is reduced significantly from 5.8 GHz to 7 GHz. The agreement between measured and simulated data validates the present design.

  1. GENOVESI, S., COSTA, F., MONORCHIO, A. Low profile array with reduced radar cross section by using frequency selective surfaces. IEEE Transactions on Antennas Propagation, 2012, vol. 60, no. 5, p. 2327-2335.
  2. ZHOU, H., QU, S.-B., LIN, B.-Q. Filter-antenna consisting of conical FSS radome and monopole antenna. IEEE Transactions on Antennas Propagation, 2012, vol. 60, no. 6, p. 3040-3045.
  3. WU, T. K. Improved dual band FSS performance with fractal elements. Microwave and Optical Technology Letters, 2012, vol. 54, no. 3, p. 833-835.
  4. LI, Y.-Q., ZHANG, H., FU, Y.-Q., YUAN, N.-C. RCS reduction of ridged waveguide slot antenna array using EBG radar absorbing material. IEEE Antennas Wireless Propagation Letters, 2008, vol. 7, p. 473-476.
  5. TAN, Y., YAN, N., YANG, Y., FU, Y. Improved RCS and efficient waveguide slot antenna. Electronic Letters, 2011, vol. 47, no. 10, p. 582-583.
  6. ZHANG, Y., MITTRA, R., WANG, B. Z, HUANG, N. T. AMCs for ultra-thin and broadband RAM design. Electronic Letters, 2009, vol. 45, no. 10, p. 484-485.
  7. HWANG, R. B., TSAI, Y. L. The reflection characteristics of a composite planar AMC surface. AIP Advances, 2012, no. 2, p. 012128.
  8. LANDY, N. I., SAJUYIGBE, S., MOCK, J. J., SMITH, D. R., PADILLA, W. J. A perfect metamaterial absorber. Physical Review Letters, 2008, vol. 100, p. 207402.
  9. VAN TUONG PHAM, PARK, J. W., DINH LAM VU, ZHANG, H. Y., RHEE, J. Y., KIM, K. W., LEE, Y. P. THz-metamaterial absorber. Advanced. Nature Science: Nanoscience and Nanotechnology, 2013, vol. 4, p. 015001.
  10. LIU, T., CAO, X.-Y., GAO, J., ZHENG, Q.-R., LI, W.-Q., YANG, H.-H. RCS reduction of waveguide slot antenna with metamaterial absorber. IEEE Transactions on Antennas Propagation, 2013, vol. 61, no. 4, p. 2327-2335.
  11. ZHU, B., WANG, Z., HUANG, C., FENG, Y., ZHAO, J., JIANG, T. Polarization insensitive metamaterial absorber with wide incident angle. Progress in Electromagnetic Research, 2010, vol. 10, no. 1, p. 231-239.
  12. SMITH, D. R., VIER, D. C., KOSCHNY, Th., SOUKOULIS, C. M. Electromagnetic parameter retrieval from inhomogeneous metamaterials. Physical Review E, 2005, vol. 71, p. 036617.
  13. LI, S.-J., CAO, X.-Y., GAO, J., ZHENG, Q.-R. Design of the ultra-thin perfect metamaterial absorber with high Q-factor. Acta Physica Sinica, 2013, vol. 62, no. 24, p. 244101.
  14. NAKANO, H., ASO, N., MIZOBE, N., YAMAUCHI, J. Lowprofile composite helical-spiral antenna for a circularly-polarized tilted beam. IEEE Transactions on Antennas Propagation, 2011, vol. 59, no. 7, p. 2710-2713
  15. LI, S.-J., CAO, X.-Y., GAO, J., ZHENG, Q.-R., YANG, H.-H. Broadband and miniaturization of tilted beam antenna using CSRR splits and flower-spiral structure. Microwave and Optical Technology Letters. 2014, vol. 56, no. 1, p. 27-31.
  16. LI, L., YANG, Y., LIANG, C.-H. A wide-angle polarizationinsensitive ultra-thin metamaterial absorber with three resonant modes. Journal of Applied Physics, 2011, vol. 110, p. 063702.
  17. SHANG, Y., XIAO, S., TANG, M.-C., BAI, Y.-Y., WANG, B. Radar cross-section reduction for a microstrip patch antenna using PIN diodes. IET Microwave Antennas and Propagation, 2012, vol. 6, no. 6, p. 670-679.
  18. LI, S.-J., CAO, X.-Y., GAO, J., ZHENG, Q.-R. Design of ultrathin broadband metamaterial absorber and its application for RCS reduction of circular polarization tilted beam antenna. Acta Physica Sinica, 2013, vol. 62, no. 12, p. 124101.

Keywords: RCS, perfect metamaterial absorber, tilted beam antenna, circular polarization

J.-M. Wu, M.-Y. Ko [references] [full-text] [Download Citations]
Package and PCB Effects Shift Intermodulation Notch in an RF Common-Emitter Amplifier

A Taylor series analysis is conducted to study the effects of the package and printed circuit board (PCB) on the intermodulation nulling of an RF common-emitter amplifier. The equivalent parasitic element of the package and PCB interconnects is extracted from the impedance and admittance parameters, which are obtained using a three-dimensional (3-D) electromagnetic simulation tool. The theoretical analysis reveals that the effects of the package and PCB on the intermodulation nulling of common-emitter amplifiers is to shift the intermodulation notch; that the third-order intermodulation (IM3) products under an original bias condition cannot be suppressed and that the linearity of common-emitter amplifiers is thereby degraded. A comparison between theory and simulation reveals good agreement in the predicted locations of the intermodulation notch in the absence and presence of a package and PCB.

  1. PASSIOPOULOS, G., WEBSTER, D. R., PARKER, A. E., HAIGH, D. G., ROBERTSON, I. D. Effect of bias and load on MESFET nonlinear characteristics. Electronics Letters, 1996, vol. 32, no. 8, p. 741 - 743.
  2. PARKER, A. E., GUOLI, Q. Intermodulation nulling in HEMT common source amplifiers. IEEE Microwave and Wireless Components Letters, 2001, vol. 11, no. 3, p. 109 - 111.
  3. KO, J. S., KIM, J. K., KO, B. K., CHEON, D. B., PARK, B. H. Enhanced ACPR technique by class AB in PCS driver amplifier. In Proceedings of the 6th International Conference on VLSI and CAD. Deoul (Korea), 1999, p. 376 - 379.
  4. DE CARVALHO, N. B., PEDRO, J. C. Large- and small-signal IMD behavior of microwave power amplifiers. IEEE Transactions on Microwave Theory and Techniques, 1999, vol. 47, no. 12, p. 2364 - 2374.
  5. WU, J.-M. Low-noise amplifier design using intermodulation nulling and noise canceling for WiMAX receivers. International Journal of Microwave and Optical Technology, 2010, vol. 5, no. 6, p. 369 - 374.
  6. VITZILAIOS, G., PAPANANOS, Y., THEODORATOS, G., VRYSSAS, K. S. Magnetic-feedback-based predistortion method for low-noise amplifier linearization. IEEE Transactions on Circuits and Systems II: Express Briefs, 2006, vol. 53, no. 12, p. 1441 - 1445.
  7. SZENDIUCH, I. Development in electronic packaging – moving to 3D system configuration. Radioengineering, 2011, vol. 20, no. 1, p. 214 - 220.
  8. HORNG, T.-S., WU, S.-M., HUANG, H.-H., CHIU, C.-T., HUNG, C.-P. Modeling of lead-frame plastic CSPs for accurate prediction of their low-pass filter effects on RFICs. IEEE Transactions on Microwave Theory and Techniques, 2001, vol. 49, no. 9, p. 1538 - 1545.
  9. HORNG, T.-S., WU, S.-M., CHIU, C.-T., HUNG, C.-P. Electrical performance improvements on RFICs using bump chip carrier packages as compared to standard thin shrink small outline packages. IEEE Transactions on Advanced Packaging, 2001, vol. 24, no. 4, p. 548 - 554.
  10. SIVONEN P., PARSSINEN, A. Analysis and optimization of packaged inductively degenerated common-source low-noise amplifiers with ESD protection. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 4, p. 1304 - 1313.
  11. SIVONEN, P., KANGASMAA, S., PARSSINEN, A. Analysis of packaging effects and optimization in inductively degenerated common-emitter low-noise amplifiers. IEEE Transactions on Microwave Theory and Techniques, 2003, vol. 51, no. 4, p. 1220 to 1226.
  12. WU, J.-M., HAN, F.-Y., HORNG, T.-S., LIN, J. Direct-conversion quadrature modulator MMIC design with a new 90 degrees phase shifter including package and PCB effects for W-CDMA applications. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 6, p. 2691 - 2698.
  13. HAN, F.-Y., WU, J.-M., HORNG, T.-S. A rigorous study of package and PCB effects on W-CDMA upconverter RFICs. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 10, p. 3793 - 3804.

Keywords: Package and printed circuit board (PCB) effects, intermodulation nulling, common-emitter amplifier, Taylor series.

K. Staszek, S. Gruszczynski, K. Wincza [references] [full-text] [Download Citations]
Broadband Butler Matrices with the Use of High-Pass LC Sections as Left-Handed Transmission Lines

An application of left-handed transmission line sections in Butler matrices has been investigated. It has been shown, for the first time, that the utilization of both left-handed and right-handed transmission lines allows for broadband differential phase shifters’ realization, required in the Butler matrices. A complete theoretical analysis is given, for Butler matrices incorporating ideal transmission lines of both right- and left handed types and expressions for the achievable bandwidth and differential phase deviation are derived. The presented idea has been verified by the design of a 4 x 4 Butler matrix operating in a frequency range of 2.5 – 3.5 GHz. As an artificial left-handed transmission line, an equivalent high-pass LC circuit realized in a quasi-lumped element technique, has been considered, and the resulting phase shift of such a circuit is given analytically. The obtained measurement results fully confirm the validity of the proposed idea of broadband Butler matrices’ realization.

  1. BUTLER, J., LOWE, R. Beam-forming matrix simplifies design of electronically scanned antennas. Electron. Des., April 1961, vol. 9, p. 170-173.
  2. SHELTON, J., KELLEHER, K. Multiple beams from linear arrays. IRE Trans. Antennas Propag., March 1961, vol. 9, no. 2, p. 154- 161.
  3. ZAK, T., SACHSE, K. An integrated Butler matrix in multi-layer technology for multi-port amplifier applications. In 14th International Conference on Microwaves, Radar & Wireless Communications. Gdansk (Poland), May 2002, vol. 1, p. 59-62.
  4. LIPSKY, S. E. Microwave Passive Direction Finding. New York: John Wiley & Sons Inc., 1987, ch. 5: DF antenna arrays.
  5. DENIDNI, T. A., LIBAR, T. E. Wide band four-port Butler matrix for switched multibeam antenna arrays. IEEE Proceedings on Personal, Indoor and Mobile Radio Communications, Sept. 2003, vol. 3, p. 2461-2464.
  6. GAO, S. C., LI, L. W., LEONG, M. S., YEO, T. S. Integrated multibeam dual-polarized planar array. IEEE Proc. Microwaves, Antennas and Propagation, June 2001, vol. 148, no. 3, p. 174-178.
  7. GRUSZCZYNSKI, S., WINCZA, K. Broadband 4x4 Butler matrices as a connection of symmetrical multisection coupled-line 3-dB directional couplers and phase correction networks. IEEE Trans. Microwave Theory and Tech., Jan. 2009, vol. 57, no. 1, p. 1-9.
  8. CENTINONERI, B., ATESAL, Y. A., REBEIZ, G. M. An 8 x 8 Butler matrix in 0.13m CMOS for 5-6-GHz multibeam applications. IEEE Trans. Microwave Theory and Tech., Feb. 2011, vol. 59, no. 2, p. 295-301.
  9. TUDOSIE, G., VAHLDIECK, R., LU, A. A novel modularized folded highly compact LTCC Butler matrix. In IEEE MTT-S Int. Microw. Symp. Dig., 2008, p. 691 – 694.
  10. BONA, M., MANHOLM, L., STARSKI, J. P. Low-loss compact Butler matrix for a microstrip antenna. IEEE Trans. Microwave Theory and Tech., Sept. 2002, vol. 50, p. 2069-2075.
  11. WINCZA, K., GRUSZCZYNSKI, S. A broadband 4 x 4 Butler matrix for modern-day antennas. In 35th European Microwave Conference. Paris (France), Oct. 2005, p. 1331-1334.
  12. SCHIFFMAN, B. M.. A new class of broadband microwave 90- degree phase shifters. IRE Trans. Microwave Theory and Tech., Apr. 1958, vol. MTT-4, p. 232–237.
  13. QUIRARTE, J. L. R., STARSKI, J. P. Synthesis of Schiffman phase shifters. IEEE Trans. Microwave Theory and Tech., Nov. 1991, vol. 39, no. 11, p. 1885-1889.
  14. WINCZA, K., GRUSZCZYNSKI, S., SACHSE, K., KUTA, S. Ultrabroadband 8 x 8 Butler matrix designed with the use of multisection directional couplers and phase correction networks. Microwave and Optical Technology Letters, June 2012, vol. 54, no. 6, p. 1375-1380.
  15. WINCZA, K., GRUSZCZYNSKI, S., SACHSE, K. Ultrabroadband 4 x 4 Butler matrix with the use of multisection coupled-line directional couplers and phase shifters. In 3rd Microwaves, Radar and Remote Sensing Symposium, MRRS-2011. Kiev (Ukraine), 2011.
  16. HAYASHI, H., HITKO, D. A., SODINI, C. G. Four-element planar Butler matrix using half-wavelength open stubs. IEEE. Microwave and Wireless Components Letters, March 2002, vol. 12, no. 3, p. 73-75.
  17. ITOH, T. Invited paper: Prospects for metamaterials. Electron. Lett., August 2004, vol. 40, no. 16, p. 972-973.
  18. XU, H. –X., WANG, G. –M., WANG, X. Compact Butler matrix using composite right/left handed transmission line. Electronics Letters, Sept. 2011, vol. 47, no. 19, p. 1081-1083.
  19. WANG, C.-W., MA, T.-G., YANG, C.-F. A new planar artificial transmission line and its application to a miniaturized Butler matrix. IEEE Trans. Microwave Theory and Tech., Dec. 2007, vol. 55, no. 12, p. 2792-2801.
  20. WINCZA, K., GRUSZCZYNSKI, S., SACHSE, K. Broadband planar fully integrated 8 x 8 Butler matrix using coupled-line directional couplers. IEEE Trans. Microwave Theory and Tech., Oct. 2011, vol. 59, no. 10, p. 2441-2446.

Keywords: Butler matrices, coupled-line directional couplers, differential phase shifters, left-handed transmission lines

J.W. Ren, G.T. Yang, W.M. Jia, M.L. Yao [references] [full-text] [Download Citations]
Unambiguous Tracking Method Based on Combined Correlation Functions for sine/cosine-BOC CBOC and AltBOC Modulated Signals

Unambiguous tracking for Binary Offset Carrier (BOC) modulated signals is an important requirement of modern Global Navigation Satellite System (GNSS) receivers. An unambiguous tracking method based on combined correlation functions for even/odd order sine/cosine-BOC, Composite BOC(CBOC) and Alternate BOC(AltBOC) modulated signals is proposed. Firstly, a unitary mathematical formulation for all kinds of BOC modulations is introduced. Then an unambiguous tracking method is proposed based on the formulation and the idea of pseudo correlation function (PCF) method. Finally, the tracking loop based on the proposed method is designed. Simulation results indicate that the proposed method can remove side peaks while retaining the sharp main peak for all kinds of BOC modulations. The tracking performance for AltBOC is examined and the results show that the proposed method has better performance in thermal noise and long-delay multipath mitigation than the traditional unambiguous tracking methods.

  1. LOHAN, E. S. Limited bandwidths and correlation ambiguities: Do they co-exist in Galileo receivers. Positioning, 2011, vol. 2, no. 1, p. 14-21.
  2. BETZ, J. W. The offset carrier modulation for GPS modernization. In Proceedings of ION-NTM 1999. San Diego, (CA, USA), 1999, p. 639-648.
  3. BURIAN, A., LOHAN, E. S., RENFORS, M. K. Efficient delay tracking methods with sidelobes cancellation for BOC-modulated signals. EURASIP Journal on Wireless Communications and Networking, 2007, p. 1-20.
  4. JULIEN, O., MACABIAU, C., CANNON, M. E., LACHAPELLE, G. E. ASPeCT: Unambiguous sine-BOC(n,n) acquisition /tracking technique for navigation applications. IEEE Transactions on Aerospace and Electronic Systems, 2007, vol. 43, no. 1, p. 150-162.
  5. LOHAN, E. S., BURIAN, A., RENFORS, M. Low-complexity unambiguous acquisition methods for BOC-modulated CDMA signals. International Journal of Satellite Communications, 2008, vol. 26, no. 6, p. 503-522.
  6. HEIRIES, V., ROVIRAS, D., RIES, L., CALMETTES, V. Analysis of non ambiguous BOC signal acquisition performance. In Proceedings of ION-GNSS 2004. Long Beach (CA, USA), 2004, p. 2611-2622.
  7. JOVANOVIC, A., EDIEN, C. E., TAWK, Y., BOTTERON, C., FARINE, P. E. Two-step Galileo E1 CBOC tracking algorithm: When reliability and robustness are keys! International Journal of Navigation and Observation, 2012, no. 2, p. 1-14.
  8. YAO, Z., CUI, X., LU, M., FENG, Z., YANG, J. Pseudocorrelation- function-based unambiguous tracking technique for sine-BOC signals. IEEE Transactions on Aerospace and Electronic Systems, 2010, vol. 46, no. 4, p. 1782-1796.
  9. JULIEN, O., CANNON, M. E., LACHAPELLE, G., MONGRE- DIEN, C. A new unambiguous BOC(n,n) signal tracking technique. In Proceedings of European Navigation Conference GNSS 2004. Rotterdam (Holland), 2004, p. 1-12.
  10. YAO, Z. Unambiguous sine-phased binary offset carrier modulated signal acquisition technique. IEEE Transactions on Wireless Communications, 2010, vol. 9, no. 2, p. 577-580.
  11. REN, J., CHEN, H., JIA, W., YAO, M. Complex step-shape binary offset carrier modulation for a unitary analytical framework of GNSS signals. Wireless Personal Communications, 2013, 10.1007/s11277-013-1113-0.
  12. TAWK, Y., BOTTERON, C., JOVANOVIC, A., FARINE, P. Analysis of Galileo E5 and E5ab code tracking. GPS Solutions, 2011, vol. 16, no. 2, p. 243-258.
  13. HOLMES, J. K. Noncoherent Late Minus Early power code tracking performance with front end filtering. In Proceedings of ION-GPS 1997. Kansas (Missouri, USA), 1997, p. 583-593.
  14. HARRIS, R. B., LIGHTSEY, E. G. A general model of multipath error for coherently tracked BOC modulated signals. IEEE Journal of Selected Topics in Signal Processing, 2009, vol. 3, no. 4, p. 682- 694.

Keywords: Global Navigation Satellite Systems (GNSS), Binary Offset Carrier (BOC), unambiguous tracking, multipath.

O. Cakir, I. Kaya, A. Yazgan, O. Cakir, E. Tugcu [references] [full-text] [Download Citations]
Emitter Location Finding using Particle Swarm Optimization

Using several spatially separated receivers, nowadays positioning techniques, which are implemented to determine the location of the transmitter, are often required for several important disciplines such as military, security, medical, and commercial applications. In this study, localization is carried out by particle swarm optimization using time difference of arrival. In order to increase the positioning accuracy, time difference of arrival averaging based two new methods are proposed. Results are compared with classical algorithms and Cramer-Rao lower bound which is the theoretical limit of the estimation error.

  1. XINRONG, L. Collaborative localization with received-signal strength in wireless sensor networks. IEEE Transactions on Vehicular Technology, 2007, vol. 56, no. 6, p. 3807 – 3817.
  2. MACHAJ, J., BRIDA, P. Optimization of rank based fingerprinting localization algorithm. In 3rd International Conference on Indoor Positioning and Indoor Navigation. Sydney (Australia), 2012, p. 1-7.
  3. MORAVEK, P., KOMOSNY, D., SIMEK, M., GIRBAU, D., LAZARO, A. Energy analysis of received signal strength localization in wireless sensor networks. Radioengineering, 2011, vol. 20, no. 4, p. 937 – 945.
  4. BRIDA, P., CEPEL, P., DUHA, J. Geometric algorithm for received signal strength based mobile positioning. Radioengineering, 2005, vol. 14, no. 2, p. 1 – 6.
  5. KAPLAN, L. M. Global node selection for localization in a distributed sensor network. IEEE Transactions on Aerospace and Electronic Systems, 2006, vol. 42, no. 1, p. 113 – 135.
  6. GIORGETTI, G., CIDRONALI, A., GUPTA, S. K. S., MANES, G. Single-anchor indoor localization using as witched-beam antenna. IEEE Communications Letters, 2009, vol. 13, no. 1, p. 58 to 60.
  7. ZENG, W. J., LI, X. L. High-resolution multiple wideband and nonstationary source localization with unknown number of sources. IEEE Transactions on Signal Processing, 2010, vol. 58, no. 6, p. 3125 – 3136.
  8. PATWARI, N., HERO, A. O., PERKINS, M., CORREAL, N. S., O'DEA, R. J. Relative location estimation in wireless sensor networks. IEEE Transactions on Signal Processing, 2003, vol. 51, no. 8, p. 2137 – 2148.
  9. CHAN, Y., TSUI, W., SO, H., CHING, P. Time-of-arrival based localization under NLOS conditions. IEEE Transactions on Vehicular Technology, 2006, vol. 55, no. 1, p. 17 – 24.
  10. VEGNI, A. M., DI NEPI, A., NERI, A., VEGNI, C. Local positioning services on IEEE 802.11 networks. Radioengineering, 2008, vol. 17, no. 2, p. 42 – 47.
  11. SMITH, J., ABEL, J. The spherical interpolation method of source localization. IEEE Journal of Oceanic Engineering, 1987, vol. 12, no. 1, p. 246 – 252.
  12. GEZICI, S., ZHI, T., GIANNAKIS, G. B., KOBAYASHI, H., MOLISCH, A. F., POOR, H. V., SAHINOGLU, Z. Localization via ultra-wideband radios: a look at positioning aspects for future sensor networks. IEEE Signal Processing Magazine, 2005, vol. 22, no. 4, p. 70 – 84.
  13. URRUELA, A., SALA, J., RIBA, J. Average performance analysis of circular and hyperbolic geo location. IEEE Transactions on Vehicular Technology, 2006, vol. 55, no. 1, p. 52 – 66.
  14. BEZOUSEK, P., SCHEJBAL, V. Bistatic and multistatic radar systems. Radioengineering, 2008, vol. 17, no. 3, p. 53 – 59.
  15. CHESTNUT, P. C. Emitter location accuracy using TDOA and differential Doppler. IEEE Transactions on Aerospace and Electronic Systems, 1982, vol. AES-18, no. 2, p. 214 – 218.
  16. HO, K. C., WENWEI, X. An accurate algebraic solution for moving source location using TDOA and FDOA measurements. IEEE Transactions on Signal Processing, 2004, vol. 52, no. 9, p. 2453 – 2463.
  17. HO, K. C., LU, X., KOVAVISARUCH, L. Source localization using TDOA and FDOA measurements in the presence of receiver location errors: Analysis and solution. IEEE Transactions on Signal Processing, 2007, vol. 55, no. 2, p. 684 – 696.
  18. KLEINE-OSTMANN, T., BELL, A. E. A data fusion architecture for enhanced position estimation in wireless networks. IEEE Communications Letters, 2001, vol. 5, no. 8, p. 343 – 345.
  19. CONG, L., ZHUANG, W. Hybrid TDOA/AOA mobile user location for wideband CDMA cellular systems. IEEE Transactions on Wireless Communications, 2002, vol. 1, no. 3, p. 439 – 447.
  20. MCGUIRE, M., PLATANIOTIS, K. N., VENETSANOPOULOS, A. N. Data fusion of power and time measurements for mobile terminal location. IEEE Transactions on Mobile Computing, 2005, vol. 4, no. 2, p. 142 – 153.
  21. GARDNER, W. A., CHEN, C. K. Signal-selective time-difference- of-arrival estimation for passive location of man-made signal sources in highly corruptive environments I. Theory and method. IEEE Transactions on Signal Processing, 1992, vol. 40, no. 5, p. 1168 – 1184.
  22. BENESTY, J., JINGDONG, C., YITENG, H. Time-delay estimation via linear interpolation and cross correlation. IEEE Transactions on Speech and Audio Processing, 2004, vol. 12, no. 5, p. 509 – 519.
  23. NEMEC, Z., BEZOUSEK, P. The time difference of arrival estimation of Wi-Fi signals. Radioengineering, 2005, vol. 17, no. 4, p. 51 – 54.
  24. CHAN, Y., HANG, Y. C., CHING, P. Exact and approximate maximum likelihood localization algorithms. IEEE Transactions on Vehicular Technology, 2006, vol. 55, no. 1, p. 10 – 16.
  25. SO, H. C, CHAN, Y. T., CHAN, F. K. W. Closed-form formulae for time-difference-of-arrival estimation. IEEE Transactions on Signal Processing, 2008, vol. 56, no. 6, p. 2614 – 2620.
  26. YAN, J., TIBERIUS, C. C. J. M., TEUNISSEN, P. J. G., BELLUSCI, G., JANSSEN, G. J. M. A framework for low complexity least-squares localization with high accuracy. IEEE Transactions on Signal Processing, 2010, vol. 58, no. 9, p. 4836 to 4847.
  27. CLERC, M., KENNEDY, J. The particle swarm-explosion stability and convergence in a multidimensional complex space. IEEE Transactions on Evolutionary Computation, 2002, vol. 6, no. 1, p. 58 – 73.
  28. BERGH, F, ENGELBRECHT, A. P. A cooperative approach to particle swarm optimization. IEEE Transactions on Evolutionary Computation, 2004, vol. 8, no. 3, p. 225 – 239.
  29. CERNY, P., MAZANEK, M. Optimization of transient response radiation of printed ultra wideband dipole antennas (using particle swarm optimization method). Radioengineering, 2007, vol. 16, no. 2, p. 9 – 14.
  30. REN, R., FOWLER, M. L., WU, N. E. Finding optimal trajectory points for TDOA/FDOA geo-location sensors. In 43rd Annual Conference on Information Sciences and Systems, Baltimore (USA), 2009, p. 817 – 822.
  31. YAO, J., PAN, J., HAN, Y., WANG, L. Application of particle swarm optimization with stochastic inertia weight and adaptive mutation in target localization. In 2010 International Conference on Computer Application and System Modeling. Taiyuan (China), 2010, p. V13-251 - V13-254.
  32. BIN, Y. Z., YAN, Q., NAN, L. A. PSO based passive satellite localization using TDOA and FDOA measurements. In IEEE/ACIS 10th International Conference on Computer and Information Science. Sanya (China), 2011, p. 251 – 254.
  33. CAKIR, O., KAYA, I., YAZGAN, A., CAKIR, O. Dynamic orientation of receiver arrays using particle swarm optimisation. Electronics Letters, 2013, vol. 49, no. 21, p. 1013 – 1315.
  34. SCHMIDT, R. O. A new approach to geometry of range difference location. IEEE Transactions on Aerospace and Electronic Systems, 1972, vol. AES-8, no. 6, p. 821 – 835.
  35. SCHMIDT, R. Least squares range difference location. IEEE Transactions on Aerospace and Electronic Systems, 1996, vol. 32, no. 1, p. 234 – 242.
  36. LIU B., LIN, K. On the accuracy analysis of the distancedifference estimation for SSSD positioning method in wireless communications. In IEEE International Conference on Communications. Glasgow (Scotland), 2007, p. 4686 – 4691.
  37. LIU, B., LIN, K. Distance difference error correction by least square for stationary signal-strength-difference-based hyperbolic location in cellular communications. IEEE Transactions on Vehicular Technology, 2008, vol. 57, no. 1, p. 227 – 238.
  38. KORKMAZ, S., VEEN, A. J. Localization with TOA as a constrained robust stochastic least squares problem. In IEEE International Conference on Ultra-Wideband. Hannover (Germany), 2008, p. 197 – 200.
  39. CAKIR, O., YAZGAN, A., CAKIR, O., KAYA, I. Different perspective of time difference of arrival averaging. In 35th International Conference on Telecommunications and Signal Processing. Prague (Czech Republic), 2012, p. 344 – 347.
  40. CHAN, Y. T., HO, K. C. A simple and efficient estimator for hyperbolic location. IEEE Transactions on Signal Processing, 1994, vol. 42, no. 8, p. 1905 – 1915.
  41. ZEKAVAT, R., BUEHRER, R. M. Handbook of Position Location Theory Practice and Advances. 1st ed. Wiley-IEEE Press, 2011.
  42. KENNEDY, J., EBERHART, R. Particle swarm optimization. In IEEE International Conference on Neural Networks. Perth (Australia), 1995, p. 1942 – 1948.
  43. YAZGAN, A., CAVDAR, I. H. A comparative study between LMS and PSO algorithms on the optical channel estimation for radio over fiber systems. Optik – International Journal for Light and Electron Optics, 2014, vol. 125, no. 8.

Keywords: Emitter location finding, time difference of arrival, time difference of arrival averaging, particle swarm optimization, Cramer-Rao lower bound.

L. Xu, S. Jin, G. Yin [references] [full-text] [Download Citations]
A Track Association Algorithm Based on Leader-Follower On-line Clustering in Dense Target Environments

The imbalance between accuracy and computational cost is a defect in track association. In response to the defect, the track association problem is transformed into an on-line clustering problem with constraints, and a novel track association algorithm is proposed based on Leader-Follower online clustering. In the algorithm, we take a track as a Leader or a Follower based on its type and make Followers and Leaders clustered, which greatly reduces the track pairs associated. In addition, the association relationships between Leaders and Followers are acquired by introducing a function of association degree, which is characterized by small computational cost and no requirements on the distribution of sensor data. The fused Leader-Follower forms a new Leader, which combines Leader generation and track fusion. When sensor tracks are updated, their Leaders will be changed and the other Leaders will be retained, by which the associated results obtain a good stability.

  1. AZIZ, A. M. Fuzzy track-to-track association and track fusion approach in distributed multisensory multi-target multiple-attribute environment. Signal Processing, 2007, vol. 87, no. 6, p. 1474 to 1492.
  2. OH, S., RUSSELL, S., SHANKAR, S. Markov chain Monte Carlo data association for multi-target tracking. IEEE Transactions on Automatic Control, 2009, vol. 54, no. 3, p. 481-497.
  3. HABTEMARIAM, B., THARMARASA, R., THAYAPARAN, T. A multiple-detection joint probabilistic data association filter. IEEE Journal of Selected Topics in Signal Processing, 2013, vol. 7, no. 3, p. 461-471.
  4. SINHA, A., DING, Z., KIRUBARAJAN, T., FAROOQ, M. Track quality based multitarget tracking approach for global nearestneighbor association. IEEE Transactions on Aerospace and Electronic Systems, 2012, vol. 48, no. 2, p. 1179-1191.
  5. MAURER, D. E. Information handover for track-to-track correlation. Information Fusion, 2003, vol. 4, no. 4, p. 281-295.
  6. CHEN, H. M., BAR-SHALOM, Y. Track association and fusion with heterogeneous local trackers. In Proceedings of the 46th IEEE Conference on Decision & Control. New Orleans (USA), 2007, p. 2675-2680.
  7. PANAKKAL, V. P., VELMURUGAN, R. Effective data association scheme for tracking closely moving targets using factor graphs. In 2011 National Conference on Communications. Bangalore (India), 2011, p.1-5.
  8. OUYANG, C., JI, H. Modified cost function for passive sensor data association. Electronics Letters, 2011, vol. 47, no. 6, p. 383 to 385.
  9. SIGALOV, D., SHIMKIN, N. Cross entropy algorithms for data association in multi-target tracking. IEEE Transactions on Aerospace and Electronic Systems, 2011, vol. 47, no. 2, p. 1166-1185.
  10. HAN, Y., ZHU, H., HAN, C. Track-to-track association in the presence of sensor bias and the relative bias estimation. In 15th International Conference on Information Fusion. Singapore (Singapore), 2012, p. 1044-1050.
  11. KAPLAN, L. M., BAR-SHALOM, Y., BLAIR, W. D. Assignment costs for multiple sensor track-to-track association. IEEE Transactions on Aerospace and Electronic Systems, 2008, vol. 44, no. 2, p. 655-677.
  12. PAPAGEORGIOU, D. J., HOLENDER, M. Track-to-track association and ambiguity management in the presence of sensor bias. In 12th International Conference on Information Fusion. Seattle (USA), 2009, p. 2012-2019.
  13. SATHYAN, T., SINHA, A., KIRUBARAJAN, T., McDONALD, M., LANG, T. MDA-based data association with prior track information for passive multi-target tracking. IEEE Trans. Aerospace and Electronic Systems, 2011, vol. 47, no. 1, p. 539 to 556.
  14. ROY, A. K., RAO, S. K. Multi-track association and fusion. In 2011 International Conference on Communications and Signal Processing. Kerala (India), 2011, p. 131-135.

Keywords: Track association, Leader-Follower on-line clustering, multi-sensor, multi-target.

J. Stastny, P. Sovka, M. Kostilek [references] [full-text] [Download Citations]
Overcoming Inter-Subject Variability in BCI Using EEG-Based Identification

The high dependency of the Brain Computer Interface (BCI) system performance on the BCI user is a well-known issue of many BCI devices. This contribution presents a new way to overcome this problem using a synergy between a BCI device and an EEG-based biometric algorithm. Using the biometric algorithm, the BCI device automatically identifies its current user and adapts parameters of the classification process and of the BCI protocol to maximize the BCI performance. In addition to this we present an algorithm for EEG-based identification designed to be resistant to variations in EEG recordings between sessions, which is also demonstrated by an experiment with an EEG database containing two sessions recorded one year apart. Further, our algorithm is designed to be compatible with our movement-related BCI device and the evaluation of the algorithm performance took place under conditions of a standard BCI experiment. Estimation of the mu rhythm fundamental frequency using the Frequency Zooming AR modeling is used for EEG feature extraction followed by a classifier based on the regularized Mahalanobis distance. An average subject identification score of 96 % is achieved.

  1. VAN GERVEN, M., FARQUHAR, J., SCHAEFER, R., VLEK, R., GEUZE, J., NIJHOLT, A., RAMSEY, N., HASELAGER, P., VUURPIJL, L., GIELEN, S., DESAIN, P. The brain-computer interface cycle. Journal of Neural Engineering, 2009, vol. 6, no. 4, p. 041001.
  2. SELLERS, E. W., KRUSIENSKI, D. J., MCFARLAND, D. J., VAUGHAN, T. M., WOLPAW, J. R. A P300 event-related potential brain-computer interface (BCI): The effects of matrix size and inter stimulus interval on performance. Biological Psychology, 2006, vol. 73, no. 3, p. 242 - 252.
  3. STASTNY , J., SOVKA, P. High-resolution movement EEG classification. Computational Intelligence and Neuroscience, 2007, Article ID 54925, 12 pages.
  4. DOLEˇ ZAL, J., STASTNY , J., SOVKA, P. Exploiting temporal context in high-resolution movement-related EEG classification. Radioengineering, 2011, vol. 20, no. 3, p. 666 - 676.
  5. VAN GERVEN, M., JENSEN, O. Attention modulations of posterior alpha as a control signal for two-dimensional brain-computer interfaces. Journal of Neuroscience Methods, 2009, vol. 179, no. 1, p. 78 - 84.
  6. VAUGHAN, T. M., MCFARLAND, D. J., SCHALK, G., SARNACKI, W. A., KRUSIENSKI, D. J., SELLERS, E. W., WOLPAW, J. R. The Wadsworth BCI research and development program: At home with BCI. IEEE Transactions on Neural Systems and Rehabilitation Engineering, 2006, vol. 14, no. 2, p. 229 - 233.
  7. VOGEL, F. The genetic basis of the normal human electroencephalogram. Humangenetik, 1970, vol. 10, no. 2, 91 - 114.
  8. VAN BEIJSTERVELDT, C. E. M., BOOMSMA, D. I. Genetics of the human electroencephalogram and event-related brain potentials: a review. Human Genetics, 1994, vol. 94, no. 4, p. 319 - 330.
  9. TRAN, Y., CRAIG, A., MCISAAC, P. Extraversion-introversion and 8 – 13 Hz waves in frontal cortical regions. Personality and Individual Differences, 2001, vol. 30, no. 2, p. 205 - 215.
  10. PALANIAPPAN, R. Screening for chronic alcoholic subjects using multiple gamma band EEG: A pilot study. Journal of Computer Science and Technology, 2007, vol. 7, no. 2, p. 182 - 185.
  11. POULOS, M., RANGOUSSI, M., ALEXANDRIS, N., EVANGELOU, A. On the use of EEG features towards person identification via neural network. Medical Informatics and the Internet in Medicine, 2001, vol. 26, no. 1, p. 35 - 48.
  12. POULOS, M., RANGOUSSI, M., ALEXANDRIS, N., EVANGELOU, A. Person identification from the EEG using nonlinear signal classification. Methods of Information in Medicine, 2002, vol. 41, no. 1, p. 4 - 75.
  13. RAVI, K. V. R., PALANIAPPAN, R. Leave-one-out authentication of persons using 40Hz EEG oscillations. In Proceedings of The International Conference on Computer as a Tool (EUROCON). Belgrade (Serbia), 2005, vol. 2, p. 1386 - 1389.
  14. RAVI, K. V. R., PALANIAPPAN, R. Recognising individuals using their brain patterns. In Proceedings of the Third International Conference on Information Technology and Applications (ICITA’05). Sydney (Australia), 2005, vol. 2, p. 520 - 523.
  15. MARCEL, S., MILLAN, J. D. R. Person authentication using brainwaves (EEG) and maximum a posteriori model adaptation. IEEE Transactions on Pattern Analysis and Machine Intelligence, special issue on Biometrics, 2007, vol. 29, no. 4, p. 743 - 752.
  16. ASHBY, C., BHATIA, A., TENORE, F., VOGELSTEIN, J. Lowcost electroencephalogram (EEG) based authentication. In Proceedings of the 5th International IEEE/EMBS Conference on Neural Engineering. 2011, vol. 13, p. 442 - 445.
  17. STASTNY , J., DOLEZAL, J., CERNY , V., KUBOVY , J. Design of a modular brain-computer interface. In Proceedings of International Conference on Applied Electronics. Pilsen (Czech Republic), 2010, p. 312 - 322.
  18. KUHLMAN, W. N. Functional topography of the human mu rhythm. Electroencephalography and Clinical Neurophysiology, 1978, vol. 44, no. 1, p. 83 - 93.
  19. BEGLEITER, H., PORJESZA, B. Genetics of human brain oscillations. International Journal of Psychophysiology, 2006, vol. 60, no. 2, p. 162 - 171.
  20. BRISMAR, T. The human EEG – physiological nad clinical studies. Physiology & Behavior, 2007, vol. 92, no. 1 - 2, p. 141 - 147.
  21. SUBASI, A. Selection of optimal AR spectral estimation method for EEG signals using Cramer-Rao bound. Computers in Biology and Medicine, 2007, vol. 37, no. 2, p. 183 - 194.
  22. KARJALAINEN, M., ESQUEF, P. A. A., ANTSALO, P., MAKIVIRTA, A., VALIMAKI, V. Frequency-zooming ARMA modeling of resonant and reverberant systems. Journal of the Audio Engineering Society, 2002, vol. 50, no. 12, p. 1012 - 1029.
  23. ESQUEF, P. A. A., KARJALAINEN, M., VA¨ LIMA¨ KI, V. Frequency-zooming ARMA modeling for analysis of noisy string instrument tones. EURASIP Journal on Applied Signal Processing, 2003, vol. 10, p. 953 - 967.
  24. CEMPIREK, M. EEG-based subject biometric authentication, (in Czech). Master’s thesis. Prague (Czech Republic): FEE CTU Prague, Department of Circuit Theory, 2008.
  25. MCFARLAND, D. J., MINER, L. A., VAUGHAN, T. M., WOLPAW, J. R. Mu and beta rhythm topographies during motor imagery and actual movements. Brain Topography, 2000, vol. 12, no. 3, p. 177 - 186.
  26. ARCHAMBEAU, C., VERLEYSEN, M. Fully nonparametric probability density function estimation with finite Gaussian mixture models. In Proceedings of the 5th International Conference on Advances in Pattern Recognition. Calcutta (India), 2003, p. 1137 - 1145.
  27. LOTTE, F., CONGEDO, M., L´ECUYER, A., LAMARCHE, F., ARNALDI, B. A review of classification algorithms for EEG-based brain-computer interfaces. Journal of Neural Engineering, 2007, vol. 4, no. 2, p. R1 - R13.
  28. DOLEZAL, J., STASTNY´ , J., SVADLENKA, M. EEG database merging for BCI applications. Electroscope, vol. 3, 2012.
  29. KOSTILEK, M., STASTNY , J. EEG biometric identification: repeatability and influence of movement-related EEG. In Proceedings of the International Conference on Applied Electronics. Pilsen (Czech Republic), 2013, p. 147 - 150.
  30. STASTNY , J. Meet: Modular EEG processing toolbox. In Technical Computing. Prague (Czech Republic), 2011, p. 109.
  31. MANN, H. B., WHITNEY, D. R. On a test of whether one of two random variables is stochastically larger than the other. Annals of Mathematical Statistics, 1947, vol. 18, no. 1, p. 50 - 60.
  32. ANDREW, C., PFURTSCHELLER, G. On the existence of different alpha band rhythms in the hand area of man. Neuroscience Letters, 1997, vol. 222, no. 2, p. 103 - 106.
  33. SVIDERSKAYA, N. E., KOROLKOVA, T. A. Genetic features of the spatial organization of the human cerebral cortex. Neuroscience and Behavioral Physiology, 1995, vol. 25, no. 5, p. 370 - 377.
  34. STASTNY , J. Brain-Computer Interface with an Automatic User Identification, patent application no. 304005 and utility model no. 19972, Czech Industrial Property Office.

Keywords: Brain computer interface, subject identification, frequency zooming AR modeling, EEG classification

P. Dluhos, D. Schwarz, T. Kasparek [references] [full-text] [Download Citations]
Wavelet Features for Recognition of First Episode of Schizophrenia from MRI Brain Images

Machine learning methods are increasingly used in various fields of medicine, contributing to early diagnosis and better quality of care. These outputs are particularly desirable in case of neuropsychiatric disorders, such as schizophrenia, due to the inherent potential for creating a new gold standard in the diagnosis and differentiation of particular disorders. This paper presents a scheme for automated classification from magnetic resonance images based on multiresolution representation in the wavelet domain. Implementation of the proposed algorithm, utilizing support vector machines classifier, is introduced and tested on a dataset containing 104 patients with first episode schizophrenia and healthy volunteers. Optimal parameters of different phases of the algorithm are sought and the quality of classification is estimated by robust cross validation techniques. Values of accuracy, sensitivity and specificity over 71% are achieved.

  1. HAREN, N. V., CAHN, W., POL, H. H., KAHN, R. S. The course of brain abnormalities in schizophrenia: can we slow the progression? Journal of Psychopharmacology / British Association for Psychopharmacology, 2012, vol. 26, no. 5, p. 8 – 14.
  2. SHENTON, M. E., DICKEY, C. C., FRUMIN, M., MCCARLEY, R. W. A review of MRI findings in schizophrenia. Schizophrenia Research, 2001, vol. 49, no. 1 – 2, p. 1 – 52.
  3. HONEA, R., CROW, T. J., PASSINGHAM, D., MACKAY, C. E. Regional deficits in brain volume in schizophrenia: a meta-analysis of voxel-based morphometry studies. The American Journal of Psychiatry, 2005, vol. 162, no. 12, p. 2233 – 2245.
  4. ELLISON-WRIGHT, I., GLAHN, D. C., LAIRD, A. R., THELEN, S. M., BULLMORE, E. The anatomy of first-episode and chronic schizophrenia: An anatomical likelihood estimation meta-analysis. The American Journal of Psychiatry, 2008, vol. 165, no. 8, p. 1015 to 1023.
  5. SUN, J., MALLER, J. J., GUO, L., FITZGERALD, P. B. Superior temporal gyrus volume change in schizophrenia: A review on Region of Interest volumetric studies. Brain Research Reviews, 2009, vol. 61, no. 1, p. 14 – 32.
  6. NENADIC, I., GASER, C., SAUER, H. Heterogeneity of brain structural variation and the structural imaging endophenotypes in schizophrenia. Neuropsychobiology, 2012, vol. 66, no. 1, p. 44 to 49.
  7. MECHELLI, A., PRICE, C. J., FRISTON, K. J., ASHBURNER, J. Voxel-Based Morphometry of the human brain: Methods and applications. Current Medical Imaging Reviews, 2005, vol. 1, no. 2, p. 105 – 113.
  8. ASHBURNER, J., HUTTON, C., FRACKOWIAK, R., JOHNSRUDE, I., PRICE, C., FRISTON, K. Identifying global anatomical differences: deformation-based morphometry. Human Brain Mapping, 1998, vol. 6, no. 5 – 6, p. 348 – 357.
  9. FRACKOWIAK, R. S. J., ASHBURNER, J. T., PENNY, W. D., ZEKI, S. Human Brain Function. Second edition. Academic Press, 2004.
  10. GASER, C., NENADIC, I., BUCHSBAUM, B. R., HAZLETT, E. A., BUCHSBAUM, M. S. Deformation-based morphometry and its relation to conventional volumetry of brain lateral ventricles in MRI. NeuroImage, 2001, vol. 13, no. 6, p. 1140 – 1145.
  11. LEPORE, N., BRUN, C., CHOU, Y. -Y., CHIANG, M. -C., DUTTON, R. A., HAYASHI, K. M., LUDERS, E., LOPEZ, O. L., AIZENSTEIN, H. J., TOGA, A. W., BECKER, J. T., THOMPSON, P. M. Generalized Tensor-Based Morphometry of HIV/AIDS using multivariate statistics on deformation tensors. IEEE Transactions on Medical Imaging, 2008, vol. 27, no. 1, p. 129 – 141.
  12. XU, L., LIU, J., ADALI, T., CALHOUN, V. D. Source based morphometry using structural MRI phase images to identify sources of gray matter and white matter relative differences in schizophrenia versus controls. In IEEE International Conference on Acoustics, Speech and Signal Processing, 2008. Las Vegas (NV, USA), 2008, p. 533 – 536.
  13. CALHOUN, V. D., ADALI, T., PEARLSON, G. D., PEKAR, J. J. A method for making group inferences from functional MRI data using independent component analysis. Human Brain Mapping, 2001, vol. 14, no. 3, p. 140 – 151.
  14. PANTAZIS, D., LEAHY, R. M., NICHOLS, T. E., STYNE, M. Statistical surface-based morphometry using a non-parametric approach. In 2004 2nd IEEE International Symposium on Biomedical Imaging: Macro to Nano. Arlington (VA, USA), vol. 2, 2004, p. 1283 – 1286.
  15. GAONKAR, B., POHL, K., DAVATZIKOS, C. Pattern based morphometry. Medical Image Computing and Computer-Assisted Intervention: MICCAI., 2011, vol. 14, no. 2, p. 459 – 466.
  16. VAPNIK, V. N. An overview of statistical learning theory. IEEE Transactions on Neural Networks, 1999, vol. 10, no. 5, p. 988 to 999.
  17. CASTELLANI, U., ROSSATO, E., MURINO, V., BELLANI, M., RAMBALDELLI, G., PERLINI, C., TOMELLERI, L., TANSELLA, M., BRAMBILLA, P. Classification of schizophrenia using feature-based morphometry. Journal of Neural Transmission, 2012, vol. 119, no. 3, p. 395 – 404.
  18. LIU, Y., TEVEROVSKIY, L., CARMICHAEL, O., KIKINIS, R., SHENTON, M., CARTER, C. S., STENGER, V. A., DAVIS, S., AIZENSTEIN, H., BECKER, J. T., LOPEZ, O. L., MELTZER, C. C. Discriminative MR image feature analysis for automatic schizophrenia and Alzheimer's disease classification. In MICCAI 2004, Lecture Notes in Computer Science. Saint-Malo (France), 2004, vol. 3216, p. 393 – 401.
  19. FAN, Y., SHEN, D., GUR, R. C., GUR, R. E., DAVATZIKOS, C. COMPARE: Classification of Morphological Patterns using Adaptive Regional Elements. IEEE Transactions on Medical Imaging, 2007, vol. 26, no. 1, p. 93 – 105.
  20. SHEN, H., WANG, L., LIU, Y., HU, D. Discriminative analysis of resting-state functional connectivity patterns of schizophrenia using low dimensional embedding of fMRI. NeuroImage, 2010, vol. 49, no. 4, p. 3110 – 3121.
  21. LIU, M., WANG, L., SHEN, H., LIU, Z., HU, D. A study of schizophrenia inheritance through pattern classification. In Proceedings of the 2nd International Conference on Intelligent Control and Information Processing, ICICIP 2011. Harbin (China), 2011, p. 152 – 156.
  22. INGALHALIKAR, M., KANTERAKIS, S., GUR, R., ROBERTS, T. P. L., VERMA, R. DTI based diagnostic prediction of a disease via pattern classification. Medical Image Computing and Computer-Assisted Intervention, 2010, vol. 13, no. 1, p. 558 – 565.
  23. NIEUWENHUIS, M., VAN HAREN, N. E. M., HULSHOFF POL, H. E., CAHN, W., KAHN, R. S., SCHNACK, H. G. Classification of schizophrenia patients and healthy controls from structural MRI scans in two large independent samples. NeuroImage, 2012, vol. 61, no. 3, p. 606 – 612.
  24. MOURAO-MIRANDA, J., REINDERS, A. A. T. S., ROCHAREGO, V., LAPPIN, J., RONDINA, J., MORGAN, C., MORGAN, K. D., FEARON, P., JONES, P. B., DOODY, G. A., MURRAY, R. M., KAPUR, S., DAZZAN, P. Individualized prediction of illness course at the first psychotic episode: a support vector machine MRI study. Psychological Medicine, 2012, vol. 42, no. 5, p. 1037 to 1047.
  25. ZANETTI, M. V., SCHAUFELBERGER, M. S., DOSHI, J., OU, Y., FERREIRA, L. K., MENEZES, P. R., SCAZUFCA, M., DAVATZIKOS, C., BUSATTO, G. F. Neuroanatomical pattern classification in a population-based sample of first-episode schizophrenia. Progress in Neuro-Psychopharmacology and Biological Psychiatry, 2013, vol. 43, p. 116 – 125.
  26. BISHOP, C. M. Pattern Recognition and Machine Learning (Information Science and Statistics). New York: Springer-Verlag, 2006.
  27. KASPAREK, T., THOMAZ, C. E., SATO, J. R., SCHWARZ, D., JANOUSOVA, E., MARECEK, R., PRIKRYL, R., VANICEK, J., FUJITA, A., CESKOVA, E. Maximum-uncertainty linear discrimination analysis of first-episode schizophrenia subjects. Psychiatry Research, 2011, vol. 191, no. 3, p. 174 – 181.
  28. SHI, F., LIU, Y., JIANG, T., ZHOU, Y., ZHU, W., JIANG, J., LIU, H., LIU, Z. Regional homogeneity and anatomical parcellation for fMRI image classification: Application to schizophrenia and normal controls. Medical Image Computing and Computer-Assisted Intervention: MICCAI. Springer-Verlag Berlin Heidelberg, vol. 4792, 2007.
  29. FORD, J., SHEN, L., MAKEDON, F., FLASHMAN, L. A., SAYKIN, A. J. A combined structural-functional classification of schizophrenia using hippocampal volume plus fMRI activation. In Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings. Houston (TX, USA), 2002, vol. 1, p. 48 – 49.
  30. ARDEKANI, B. A., TABESH, A., SEVY, S., ROBINSON, D. G., BILDER, R. M., SZESZKO, P. R. Diffusion tensor imaging reliably differentiates patients with schizophrenia from healthy volunteers. Human Brain Mapping, 2011, vol. 32, no. 1, p. 1 – 9.
  31. YOON, J. H., NGUYEN, D. V., MCVAY, L. M., DERAMO, P., MINZENBERG, M. J., RAGLAND, J. D., NIENDHAM, T., SOLOMON, M., CARTER, C. S. Automated classification of fMRI during cognitive control identifies more severely disorganized subjects with schizophrenia. Schizophrenia Research, 2012, vol. 135, no. 1-3, p. 28 – 33.
  32. TAKAYANAGI, Y., TAKAHASHI, T., ORIKABE, L., MOZUE, Y., KAEASAKI, Y., NAKAMURA, K., SATO, Y., ITOKAWA, M., YMASUE, H., KASAI, K., KURACHI, M., OKAZAKI, Y., SUZUKI, M. Classification of first-episode schizophrenia patients and healthy subjects by automated MRI measures of regional brain volume and cortical thickness. PLoS ONE, 2011, vol. 6, no. 6, e21047.
  33. JAFRI, M. J., CALHOUN, V. D. Functional classification of schizophrenia using feed forward neural networks. In Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings. New York (NY, USA), 2006, p. 6631 to 6634.
  34. ARRIBAS, J. I., CALHOUN, V. D., ADALI, T. Automatic Bayesian classification of healthy controls, bipolar disorder, and schizophrenia using intrinsic connectivity maps from fMRI data. IEEE Transactions on Biomedical Engineering, 2010, vol. 57, no. 12, p. 2850 – 2860.
  35. SUN, D., VAN ERP, T. G. M., THOMPSON, P. M., BEARDEN, C. E., DALEY, M., KUSHAN, L., HARDT, M. E., NUECHTERLEIN, K. H., TOGA, A. W., CANNON, T. D. Elucidating a Magnetic Resonance Imaging-based neuroanatomic biomarker for psychosis: Classification analysis using probabilistic brain atlas and machine learning algorithms. Biological Psychiatry, 2009, vol. 66, no. 11, p. 1055 – 1060.
  36. WANG, P., VERMA, R., In: METAXAS, D., AXEL, L., FICHTINGER, G., SZEKELY, G. (Eds.) On classifying diseaseinduced patterns in the brain using diffusion tensor images. In Medical Image Computing and Computer-Assisted Intervention – MICCAI 2008. Berlin (Germany), 2008, p. 908 – 916.
  37. DEMIRCI, O., CLARK, V. P., CALHOUN, V. D. A projection pursuit algorithm to classify individuals using fMRI data: Application to schizophrenia. NeuroImage, 2008, vol. 39, no. 4, p. 1774 – 1782.
  38. DEMIRCI, O., CLARK, V. P., MAGNOTTA, V. A., ANDREASEN, N. C., LAURIELLO, J., KIEHL, K. A., PEARLSON, G. D., CALHOUN, V. D. A review of challenges in the use of fMRI for disease classification / characterization and A projection pursuit application from A multi-site fMRI schizophrenia study. Brain Imaging and Behavior, 2008, vol. 2, no. 3, p. 207 to 226.
  39. DLUHOS, P. Multiresolution feature selection for recognition in magnetic resonance brain images (in Czech). Diploma Thesis, Masaryk University, Faculty of Science, 2013.
  40. ASHBURNER, J., FRISTON, K. J. Unified segmentation. NeuroImage, 2005, vol. 26, no. 3, p. 839 – 851.
  41. ASHBURNER, J. A fast diffeomorphic image registration algorithm. NeuroImage, 2007, vol. 38, no. 1, p. 95 – 113.
  42. STARCK, J. -L., MURTAGH, F., FADILI, J. M. Sparse Image and Signal Processing: Wavelets, Curvelets, Morphological Diversity. Cambridge University Press, 2010.
  43. MISITI, M., MISITI, Y., OPPENHEIM, G., POGGI, J. -M. (Eds.). Wavelets and Their Applications. Wiley-ISTE, 2007.
  44. MALLAT, S. G. A theory for multiresolution signal decomposition: the wavelet representation. IEEE Transactions on Pattern Analysis and Machine Intelligence, 1989, vol. 11, no. 7, p. 674 – 693.
  45. KUMARI, S. Effect of symlet filter order on denoising of still images. Advanced Computing: An International Journal, 2012, vol. 3, no. 1, p. 137 – 143.
  46. KAILATH, T. The divergence and Bhattacharyya distance measures in signal selection. IEEE Transactions on Communication Technology, 1967, vol. 15, no. 1, p. 52 – 60.
  47. WANG, S., LI, D., SONG, X., WEI, Y., LI, H. A feature selection method based on improved Fisher’s discriminant ratio for text sentiment classification. Expert Systems with Applications, 2011, vol. 38, no. 7, p. 8696 – 8702.
  48. DIETTERICH, T. G. Ensemble methods in machine learning. In Proceedings of the First International Workshop on Multiple Classifier Systems. London (UK), 2000, p. 1 – 15.
  49. VITA, A., DE PERI, L., DESTE, G., SACCHETTI, E. Progressive loss of cortical gray matter in schizophrenia: A meta-analysis and meta-regression of longitudinal MRI studies. Translational Psychiatry, 2012, vol. 2, e190.
  50. PURI, B. K. Brain tissue changes and antipsychotic medication. Expert Review of Neurotherapeutics, 2011, vol. 11, no. 7, p. 943 to 946.
  51. KOHAVI, R. A study of cross-validation and bootstrap for accuracy estimation and model selection. In Proceedings of the 14th International Joint Conference on Artificial Intelligence. San Francisco (CA, USA), 1995, p. 1137 – 1143.
  52. ZHAO, Y., OGDEN, R. T., REISS, P. T. Wavelet-based LASSO in functional linear regression. Journal of Computational and Graphical Statistics, 2012, vol. 21, no. 3, p. 600 – 617.

Keywords: Schizophrenia, machine learning, neuroimaging, classification, wavelet transform, MRI.

P. Liu, N. S. Perovic , A. Springer [references] [full-text] [Download Citations]
The Impact of User Effects on the Performance of Dual Receive Antenna Diversity Systems in Flat Rayleigh Fading Channels

In this paper we study the impact of user effects on the performance of receive antenna diversity systems in flat Rayleigh fading channels. Three diversity combining techniques are compared: maximal ratio combining (MRC), equal gain combining (EGC), and selection combining (SC). User effects are considered in two scenarios: 1) body loss (the reduction of effective antenna gain due to user effects) on a single antenna, and 2) equal body loss on both antennas. The system performance is assessed in terms of mean SNR, link reliability, bit error rate of BPSK, diversity order and ergodic capacity. Our results show that body loss on a single antenna has limited (bounded) impact on system performance. In comparison, body loss on both antennas has unlimited (unbounded) impact and can severely degrade system performance. Our results also show that with increasing body loss on a single antenna the performance of EGC drops faster than that of MRC and SC. When body loss on a single antenna is larger than a certain level, EGC is not a “sub-optimal” method anymore and has worse performance than SC.

  1. PAULRAJ, A., GORE, D., NABAR, R., BOLCSKEI, H. An overview of MIMO communications – A key to gigabit wireless. Proceedings of the IEEE, 2004, vol. 92, no. 2, p. 198 - 218.
  2. FOSCHINI, G. J., GANS, M. J. On limits of wireless communications in a fading environment when using multiple antennas. Wireless Personal Communications, 1998, vol. 6, p. 311 - 335.
  3. PARSONS, J. D. The Mobile Radio Propagation Channel, 2nd Ed. Wiley, 2000.
  4. BRENNAN, D. Linear diversity combining techniques. Proceedings of the IEEE, 2003, vol. 91, no. 2, p. 331 - 356.
  5. DAMMANN, A., KAISER, S. Transmit/Receive-antenna diversity techniques for OFDM systems. European Transactions on Telecommunications, 2002, vol. 13, no. 5, p. 531 - 538.
  6. HOEFEL, R. IEEE 802.11n: Performance analysis with spatial expansion, receive diversity and STBC. In 2012 IEEE Vehicular Technology Conference (VTC Fall). Quebec (Canada), 2012, p. 1 - 5.
  7. HABIB, A., MEHLFUHRER, C., RUPP, M. Performance comparison of antenna selection algorithms in WiMAX with link adaptation. In 4th International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CROWNCOM). Hannover (Germany), 2009, p. 1 - 5.
  8. MEHTA, N. B., MOLISCH, A. F., ZHANG, J., BALA, E. Antenna selection training in MIMO-OFDM/OFDMA cellular systems. In 2nd IEEE International Workshop on Computational Advances in Multi-Sensor Adaptive Processing. St. Thomas (VI, USA), 2007, p. 113 - 116.
  9. PLICANIC, V., LAU, B. K., YING, Z. Performance of a multiband diversity antenna with hand effects. In International Workshop on Antenna Technology: Small Antennas and Novel Metamaterials (iWAT). Chiba (Japan), 2008, p. 534 - 537.
  10. LINDBERG, P., KAIKKONEN, A., KOCHALI, B. Body loss measurements of internal terminal antennas in talk position using real human operator. In International Workshop on Antenna Technology: Small Antennas and Novel Metamaterials (iWAT). China (Japan), 2008, p. 358 - 361.
  11. PELOSI, M., FRANEK, O., KNUDSEN, M. B., CHRISTENSEN, M., PEDERSEN, G. F. A grip study for talk and data modes in mobile phones. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 4, p. 856 - 865.
  12. MYLLYMAKI, S., HUTTUNEN, A., PALUKURU, V. K., JANTUNEN, H., BERG, M., SALONEN, E. T. Capacitive recognition of the users hand grip position in mobile handsets. Progress In Electromagnetics Research B, 2010, vol. 22, p. 203 - 220.
  13. BERG, M., SONKKI, M., SALONEN, E. Absorption loss reduction in a mobile terminal with switchable monopole antennas. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 11, p. 4379 - 4383.
  14. LAU, B. K., ANDERSEN, J., KRISTENSSON, G., MOLISCH, A. Impact of matching network on bandwidth of compact antenna arrays. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 11, p. 3225 - 3238.
  15. GU, Q., DE LUIS, J., MORRIS, A., HILBERT, J. An analytical algorithm for pi-network impedance tuners. IEEE Transactions on Circuits and Systems I: Regular Papers, 2011, vol. 58, no. 12, p. 2894 - 2905.
  16. HALPERN, S. The effect of having unequal branch gains practical predetection diversity systems for mobile radio. IEEE Transactions on Vehicular Technology, 1977, vol. 26, no. 1, p. 94 - 105.
  17. OGAWA, K., MATSUYOSHI, T. An analysis of the performance of a handset diversity antenna influenced by head, hand, and shoulder effects at 900 MHz: Part I – Effective gain characteristics. IEEE Transactions on Vehicular Technology, 2001, vol. 50, no. 3, p. 830 - 844.
  18. PAULRAJ, A., NABAR, R., GORE, D. Introduction to Space-Time Wireless Communications. Cambridge University Press, 2003.
  19. OESTGES, C., CLERCKX, B. MIMO Wireless Communications: From Real-World Propagation to Space-Time Code Design. Boston (MA, USA): Elsevier, 2007.
  20. VUCETIC, B., YUAN, J. Space-Time Coding. Wiley, 2003.
  21. PROAKIS, J. G. Digital communications, 4th Ed. McGraw-Hill, 2000.
  22. BIGLIERI, E. MIMO Wireless Communications. Cambridge (UK): Cambridge University Press, 2007.
  23. LEE, W. C. Estimate of channel capacity in Rayleigh fading environment. IEEE Transactions on Vehicular Technology, 1990, vol. 39, no. 3, p. 187 - 189.
  24. GUNTHER, C. Comment on Estimate of channel capacity in Rayleigh fading environment. IEEE Transactions on Vehicular Technology, 1996, vol. 45, no. 2, p. 401 - 403.
  25. SHANNON, C. E. A mathematical theory of communication. The Bell System Technical Journal, 1948, vol. 27, no. 3, p. 379 - 423.
  26. LIU, P., SPRINGER, A. Impact of mobile antenna mismatch on receive antenna diversity in frequency-flat Rayleigh fading channels. In 2013 IEEE Vehicular Technology Conference (VTC Fall). Las Vegas (USA), 2013.

Keywords: Body loss, diversity gain, hand effects, Rayleigh fading, receive antenna diversity, user effects.

K. Bittner, H. G. Brachtendorf [references] [full-text] [Download Citations]
Adaptive Multi-Rate Wavelet Method for Circuit Simulation

In this paper a new adaptive algorithm for multi-rate circuit simulation encountered in the design of RF circuits based on spline wavelets is presented. The ordinary circuit differential equations are first rewritten by a system of (multi-rate) partial differential equations (MPDEs) in order to decouple the different time scales. Second, a semi-discretization by Rothe's method of the MPDEs results in a system of differential algebraic equations DAEs with periodic boundary conditions. These boundary value problems are solved by a Galerkin discretization using spline functions. An adaptive spline grid is generated, using spline wavelets for non-uniform grids. Moreover the instantaneous frequency is chosen adaptively to guarantee a smooth envelope resulting in large time steps and therefore high run time efficiency. Numerical tests on circuits exhibiting multi-rate behavior including mixers and PLL conclude the paper.

  1. BITTNER, K. Biorthogonal spline wavelets on the interval. CHEN, G., LAI, M.-J. (Eds.) Wavelets and Splines: Athens 2005. Brentwood (TN, USA): Nashboro Press, 2006, p. 93 - 104.
  2. BITTNER, K., BRACHTENDORF, H. G. Trigonometric splines for oscillator simulation. In 22nd International Conference Radioelektronika. Brno (Czech Republic), 2012, p. 1 - 4.
  3. BITTNER, K., BRACHTENDORF, H. G. Fast algorithms for grid adaptation using non-uniform bi-orthogonal spline wavelets (In preparation).
  4. BITTNER, K., BRACHTENDORF, H. G. Optimal frequency sweep method in multi-rate circuit simulation. COMPEL, 2014, vol. 33, no. 3 (To appear).
  5. BITTNER, K., DAUTBEGOVIC, E. Adaptive wavelet-based method for simulation of electronic circuits. MICHIELSEN, B., POIRIER, J.-R. (Eds.) Scientific Computing in Electrical Engineering 2010. Berlin (Germany): Springer, 2012, p. 321 - 328.
  6. BITTNER, K., DAUTBEGOVIC, E. Wavelets algorithm for circuit simulation. GU¨ NTHER, M., BARTEL, A., BRUNK, M., SCHO¨ PS, S., STRIEBEL, M. (Eds.) Progress in Industrial Mathematics at ECMI 2010. Springer, 2012, p. 5 - 11.
  7. BRACHTENDORF, H. G. Simulation des eingeschwungenen Verhaltens elektronischer Schaltungen. Aachen (Germany): Shaker, 1994.
  8. BRACHTENDORF, H. G. On the Relation of Certain Classes of Ordinary Differential Algebraic Equations with Partial Differential Algebraic Equations, Technical Report 1131G0-971114-19TM. Bell Laboratories, 1997.
  9. BRACHTENDORF, H. G. Theorie und Analyse von autonomen und quasiperiodisch angeregten elektrischen Netzwerken. Eine algorithmisch orientierte Betrachtung. Habilitationsschrift. Bremen (Germany): Universit¨at Bremen, 2001.
  10. BRACHTENDORF, H. G., BUNSE-GERSTNER, A., LANG, B., LAMPE, H. Steady state of electronic circuits by cubic and exponential splines. Electrical Engineering, 2009, vol. 91, p. 287 - 299.
  11. BRACHTENDORF, H. G., LAUR, R. A time-frequency algorithm for the simulation of the initial transient response of oscillators. In Proceedings of IEEE International Symposium on Circuits and Systems. Monterey (CA, USA), 1998.
  12. BRACHTENDORF, H. G., LAUR, R. Transient Simulation of Oscillators, Technical Report 1131G0-980410-09TM. Bell Laboratories, 1998.
  13. BRACHTENDORF, H. G., WELSCH, G., LAUR, R. A novel time-frequency method for the simulation of the steady state of circuits driven by multi-tone signals. In Proceedings IEEE International Symposium on Circuits and Systems. Hongkong, 1997, p. 1508 - 1511
  14. BRACHTENDORF, H. G., WELSCH, G., LAUR, R., BUNSEGERSTNER, A. Numerical steady state analysis of electronic circuits driven by multi-tone signals. Electronic Engineering, 1996, vol. 79, p. 103 - 112.
  15. COHEN, E., LYCHE, T., RIESENFELD, R. Discrete B-splines and subdivision techniques in computer aided geometric design and computer graphics. Computer Graphics and Image Processing, 1980, vol. 14, no. 2, p. 87 - 111.
  16. DAUTBEGOVIC, E. Transient Simulation of Complex Electronic Circuits and Systems Operating at Ultra High Frequencies, PhD thesis. Dublin (Ireland): Dublin City University, 2005.
  17. DAUTBEGOVIC, E., CONDON, M., BRENNAN, C. An efficient nonlinear circuit simulation technique. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 2, p. 548 - 555.
  18. DE BOOR, C. A Practical Guide to Splines. New York (USA): Springer, 1978.
  19. LANG, B. Einbettungsverfahren f¨ur Netzwerkgleichungen, PhD thesis. Bremen (Germany): Universit¨at Bremen, 2002.
  20. LYCHE, T., MØRKEN, K. Making the OSLO algorithm more efficient. SIAM Journal on Numerical Analysis, 1986, vol. 23, no. 3, p. 663 - 675.
  21. MEI, T., ROYCHOWDHURY, J., COFFEY, T., HUTCHINSON, S., DAY, D. Robust, stable time-domain methods for solving MPDEs of fast/slow systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005, vol. 24, no. 2, p. 226 - 239.
  22. NGOYA, E., LARCHEV`EQUE, R. Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuit and systems. In Proceedings of IEEE MTT-S International Microwave Symposium. San Francisco (USA), 1996, p. 1365 - 1368.
  23. OLIVEIRA, J. F., PEDRO, J. C. Efficient RF circuit simulation using an innovative mixed time-frequency method. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 4, p. 827 - 836.
  24. OLIVEIRA, J. F., PEDRO, J. C. An efficient time-domain simulation method for multirate RF nonlinear circuits. IEEE Transactions on Microwave Theory and Techniques, 2007, vol. 55, no. 11, p. 2384 - 2392.
  25. OLIVEIRA, J. F., PEDRO, J. C. A multiple-line double multirate shooting technique for the simulation of heterogeneous RF circuits. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 2, p. 421 - 429.
  26. PULCH, R., GU¨ NTHER, M. A method of characteristics for solving multirate partial differential equations in radio frequency application. Applied Numerical Mathematics, 2002, vol. 42, p. 399 - 409.
  27. RIZZOLI, V., MASOTTI, D., MASTRI, F., MONTANARI, E. System-oriented harmonic-balance algorithms for circuit-level simulation. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 2011, vol. 30, no. 2, p. 256 - 269.
  28. ROYCHOWDHURY, J. Efficient methods for simulating highly nonlinear multi-rate circuits. In Proceedings of IEEE Design Automation Conference. Chiba (Japan), 1997, p. 269 - 274.
  29. ROYCHOWDHURY, J. Analyzing circuits with widely separated time scales using numerical PDE methods. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 2001, vol. 48, no. 5, p. 578 - 594.
  30. SCHUMAKER, L. L. Spline Functions: Basic Theory. New York (USA): Wiley, 1981.
  31. SOVEIKO, N., GAD, E., NAKHLA, M. A wavelet-based approach for steady-state analysis of nonlinear circuits with widely separated time scales. IEEE Microwave Wireless Components Letters, 2007, vol. 17, no. 6, p. 451 - 453.
  32. SOVEIKO, N., NAKHLA, M. Wavelet harmonic balance. IEEE Microwave and Wireless Components Letters, 2003, vol. 13, no. 6, p. 232 - 234.

Keywords: RF circuits, circuit simulation, multirate simulation, envelope simulation, splines, wavelets

A. Di Bucchianico, J. ter Maten, R. Pulch, R. Janssen, J. Niehof, M. Hanssen, S. Kapora [references] [full-text] [Download Citations]
Robust and Efficient Uncertainty Quantification and Validation of RFIC Isolation

Modern communication and identification products impose demanding constraints on reliability of components. Due to this statistical constraints more and more enter optimization formulations of electronic products. Yield constraints often require efficient sampling techniques to obtain uncertainty quantification also at the tails of the distributions. These sampling techniques should outperform standard Monte Carlo techniques, since these latter ones are normally not efficient enough to deal with tail probabilities. One such a technique, Importance Sampling, has successfully been applied to optimize Static Random Access Memories (SRAMs) while guaranteeing very small failure probabilities, even going beyond 6-sigma variations of parameters involved. Apart from this, emerging uncertainty quantifications techniques offer expansions of the solution that serve as a response surface facility when doing statistics and optimization. To efficiently derive the coefficients in the expansions one either has to solve a large number of problems or a huge combined problem. Here parameterized Model Order Reduction (MOR) techniques can be used to reduce the work load. To also reduce the amount of parameters we identify those that only affect the variance in a minor way. These parameters can simply be set to a fixed value. The remaining parameters can be viewed as dominant. Preservation of the variation also allows to make statements about the approximation accuracy obtained by the parameter-reduced problem. This is illustrated on an RLC circuit. Additionally, the MOR technique used should not affect the variance significantly. Finally we consider a methodology for reliable RFIC isolation using floor-plan modeling and isolation grounding. Simulations show good comparison with measurements.

  1. AUGUSTIN, F., GILG, A., PAFFRATH, M., RENTROP, P., WEVER, U. Polynomial chaos for the approximation of uncertainties: Chances and limits. European Journal of Applied Mathematics, 2008, vol. 19, no. 2, p. 149 - 190.
  2. BAIN, L. J., ENGELHARDT, M. Introduction to Probability and Mathematical Statistics, 2nd ed. Belmont (CA, USA): Duxbury, 1992.
  3. BESSELINK, B. Model Reduction for Nonlinear Control Systems – with Stability Preservation and Error Bounds, Ph.D. thesis. Eindhoven (the Netherlands): Eindhoven University of Technology, 2012.
  4. BUCKLEW, J. A. Introduction to Rare Event Simulation. Munich (Germany): Springer, 2004.
  5. CAFLISCH, R. E. Monte Carlo and quasi-Monte Carlo methods. Acta Numerica, 1998, p. 1–49.
  6. DOORN, T. S., TER MATEN, E. J. W., CROON, J. A., DI BUCCHIANICO, A., WITTICH, O. Importance Sampling Monte Carlo simulation for accurate estimation of SRAM yield. In Proceedings of IEEE 34th European Solid-State Circuits Conference (ESSCIRC). Edinburgh (Scotland, UK), 2008, p. 230 - 233.
  7. DOORN, T. S., CROON, J. A., TER MATEN, E. J.W., DI BUCCHIANICO, A. A yield statistical centric design method for optimization of the SRAM active column. In Proceedings of 35th European Solid-State Circuits Conference (ESSCIRC). Athens (Greece), 2009, p. 352 - 355.
  8. DOORN, T., TER MATEN, J., DI BUCCHIANICO, A., BEELEN, T., JANSSEN, R. Access time optimization of SRAM memory with statistical yield constraint. In Proceedings of 22nd International Conference Radioelektronika. Brno (Czech Republic), 2012, p. 219 - 222.
  9. DE HAAN, L., FERREIRA, A. Extreme Value Theory: An Introduction. Munich (Germany): Springer, 2006.
  10. HOLLANDER, F. DEN. Large Deviations. American Mathematical Society, 2000.
  11. IONUTIU, R. Model Order Reduction for Multi-Terminal Systems with Applications to Circuit Simulation, Ph.D. thesis. Eindhoven (the Netherlands): Eindhoven University of Technology, 2011. [Online] Available at: http://alexandria.tue.nl/extra2/716352.pdf.
  12. LE MAˆITRE, O. P., KNIO, O. M. Spectral Methods for Uncertainty Quantification, with Applications to Computational Fluid Dynamics. Dordrecht (the Netherlands): Springer, 2010.
  13. LI, J., XIU, D. Evaluation of failure probability via surrogate models. Journal of Computational Physics, 2010, vol. 229, no. 23, p. 8966 - 8980.
  14. LUTOWSKA, A. Model Order Reduction for Coupled Systems using Low-Rank Approximations, Ph.D. thesis. Eindhoven (the Netherlands): Eindhoven University of Technology, 2012. [Online] Available at: http://alexandria.tue.nl/extra2/729804.pdf.
  15. MARTINEZ, W. L., MARTINEZ, A. R. Computational Statistics Handbook with Matlab. Boca Ration (FL, USA): Chapman & Hall/CRC, 2002.
  16. TER MATEN, E. J. W., DOORN, T. S., CROON, J. A., BARGAGLI, A., DI BUCCHIANICO, A., WITTICH, O. Importance Sampling for High Speed Statistical Monte-Carlo Simulations – Designing Very High Yield SRAM for Nanometer Technologies with High Variability. Report TUE-CASA 2009-37. Eindhoven (the Netherlands): TU Eindhoven, 2009. [Online] Available at: http://www.win.tue.nl/analysis/reports/rana09-37.pdf.
  17. TER MATEN, E. J. W., WITTICH, O., DI BUCCHIANICO, A., DOORN, T. S., BEELEN, T. G. J. Importance sampling for determining SRAM yield and optimization with statistical constraint. MICHIELSEN, B., POIRIER, J.-R. (Eds.) Scientific Computing in Electrical Engineering, SCEE 2010. Springer, 2012, p. 39 - 48.
  18. TER MATEN, E. J. W., PULCH, R., SCHILDERS, W. H. A., JANSSEN, H. H. J. M. Efficient Calculation of Uncertainty Quantification. (Preprint) Report TUE-CASA 2012-38. Eindhoven (the Netherlands): TU Eindhoven, 2012. [Online] Available at: http://www.win.tue.nl/analysis/reports/rana12-38.pdf. Final version to appear in: FONTES, M. et al. (Eds.), Progress in Industrial Mathematics at ECMI 2012. Springer, 2014.
  19. MUTSAERS, M. Control Relevant Model Reduction and Controller Synthesis for Complex Dynamical Systems, Ph.D. thesis. Eindhoven (the Netherlands): Eindhoven University of Technology, 2012. [Online] Available at: http://alexandria.tue.nl/extra2/734624.pdf.
  20. PAFFRATH, M., WEVER, U. Adapted polynomial chaos expansion for failure detection. Journal of Computational Physics, 2007, vol. 226, no. 1, p. 263 - 281.
  21. PAFFRATH, M., WEVER, U. Stochastic integration methods: comparison and application to reliability analysis. In Proceedings of ASME Turbo Expo 2012. Copenhagen (Denmark), 2012, paper GT2012-68973 (14p).
  22. PULCH, R. Polynomial chaos for the computation of failure probabilities in periodic problems. In ROOS, J., COSTA, L. (Eds.) Scientific Computing in Electrical Engineering SCEE 2008. Berlin (Germany): Springer, 2010, p. 191 - 198.
  23. PULCH, R., TER MATEN, E. J. W., AUGUSTIN, F. Sensitivity Analysis and Model Order Reduction for Random Linear Dynamical Systems. (Preprint) Report TUE-CASA 2013-15. Eindhoven (the Netherlands): TU Eindhoven, 2013. [Online] Available at: http://www.win.tue.nl/analysis/reports/rana13-15.pdf. Submitted to Mathematics and Computers in Simulation, 2013.
  24. PULCH, R., TER MATEN, E. J. W., AUGUSTIN, F. Sensitivity analysis of linear dynamical systems in uncertainty quantification. PAMM – Proceedings in Applied Mathematics and Mechanics, 2013, vol. 13-1, p. 507 - 508.
  25. PULCH, R., TER MATEN, E. J. W.. Stochastic Galerkin Methods and Model Order Reduction for Linear Dynamical Systems. (Preprint) Report TUE-CASA 2013-31. Eindhoven (the Netherlands): TU Eindhoven, 2013. [Online] Available at: http://www.win.tue.nl/analysis/reports/rana13-31.pdf. Submitted to International Journal for Uncertainty Quantification, 2013.
  26. SOBOL0 , I. M. Sensitivity analysis for nonlinear mathematical models. Matem. Modelirovanie, 1990, vol. 2-1, p. 112 - 118 (in Russian); MMCE - Mathematical Modeling and Computational Experiment, 1993, vol. 1 - 4, p. 407 - 414 (in English).
  27. UGRYUMOVA, M. Applications of Model Order Reduction for IC Modeling, Ph.D. thesis. Eindhovem (the Netherlands): Eindhoven University of Technology, 2011. [Online] Available at: http://alexandria.tue.nl/extra2/711015.pdf.
  28. XIU, D. Numerical Methods for Stochastic Computations - A Spectral Method Approach. Princeton (NJ, USA): Princeton University Press, 2010.

Keywords: Monte Carlo, importance sampling, tail probabilities, failure, yield estimation, uncertainty quantification, stochastic collocation, stochastic galerkin, sensitivity, variation aware, parameterized model order reduction, reliability, RFIC isolation, floor-plan modelling, isolation grounding

J. Sturm, M. Groinig, X. Xiang [references] [full-text] [Download Citations]
Tunable Balun Low-Noise Amplifier in 65nm CMOS Technology

The presented paper includes the design and implementation of a 65 nm CMOS low-noise amplifier (LNA) based on inductive source degeneration. The amplifier is realized with an active balun enabling a single-ended input which is an important requirement for low-cost system on chip implementations. The LNA has a tunable bandpass characteristics from 4.7 GHz up to 5.6 GHz and a continuously tunable gain from 22 dB down to 0 dB, which enables the required flexibility for multi-standard, multi-band receiver architectures. The gain and band tuning is realized with an optimized tunable active resistor in parallel to a tunable L-C tank amplifier load. The amplifier achieves an IIP3 linearity of -8dBm and a noise figure of 2.7 dB at the highest gain and frequency setting with a low power consumption of 10 mW. The high flexibility of the proposed LNA structure together with the overall good performance makes it well suited for future multi-standard low-cost receiver front-ends.

  1. BRUCCOLERI, F., KLUMPERINK, E. A. M., NAUTA, B. Wideband CMOS low-noise amplifier exploiting thermal noise canceling. IEEE Journal of Solid State Circuits, 2004, vol. 39, no. 2, p. 275 - 282.
  2. IM, D. NAM, I., LEE, K. A. CMOS active feedback balun-LNA with high IIP2 for wideband digital TV receivers. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 12, p. 3566 - 3579.
  3. PERUMANA, B. G., ZHAN, J. C., TAYLOR, S. S., CARLTON, B. R., LASKAR, J. Resistive-feedback CMOS low-noise amplifiers for multiband applications. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 5, p. 1218 - 1225.
  4. BLAAKMEER, S. C., KLUMPERINK, E. A. M., LEENAERTS, D. M. W., NAUTA, B. Wideband balun-LNA with simutaneous output balancing, noise-canceling and distortion-canceling. IEEE Journal of Solid-State Circuits, 2008, vol. 43, no. 6, p. 1341 - 1350.
  5. WANG, X., AICHHOLZER, W., STURM, J. A 0.1 – 4 GHz resistive feedback LNA with feedforward noise and distortion cancelation. In Proceedings of IEEE European Solid-State Circuits Conference (ESSCIRC). 2010, p. 406 - 409.
  6. ROBERT, S., ABED-MERAIM, O., LO COCO, L. Wide-band variable-gain LNA in 65 nm CMOS with inverter based amplifier for multi-tuners cable TV reception. In Proceedings of IEEE ESSCIRC. Athens (Greece), 2009, p. 104 - 107.
  7. GEIS, A., ROLAINY, Y., VANDERSTEENY, G., CRANINCKX, J. A 0.045mm2 0.1 – 6GHz reconfigurable multi-band, multi-gain LNA for SDR. In IEEE RFIC Symposium Digest. 2011.
  8. AZUMA, S., KAWAMURA, H., KAWAMA, S., TOYOYAMA, S., HASEGAWA, T., KAGOSHIMA, K., KOUTANI, M., KIJIMA, H., SAKUNO, K. IIZUKA, K. A Digital terrestrial television (ISDBT) tuner for mobile applications. In IEEE International Solid-State Circuits Conference, Digest of Technical Papers. 2004, vol. 1, p. 278 - 528.
  9. ZHANG, F., KINGET, P. R. Low-power programmable gain CMOS distributed LNA, I. EEE Journal of Solid State Circuits, 2006, vol. 41, no. 6, p. 1333 - 1343.
  10. JI, Y., WANG, C., LIU, J., LIAO, H. 1.8 dB NF 3.6 mW CMOS active balun low noise amplifier for GPS. Electronics Letters, 2010, vol. 46, no. 3, p. 251 - 252.
  11. BELOSTOTSKI, L., HASLETT, J.W. Sub-0.2 dB noise figure wideband room-temperature CMOS LNA with non-50W signal-source impedance. IEEE Journal of Solid State Circuits, 2007, vol. 42, no. 11, p. 2492 - 2502.
  12. KO, J., KIM, J., CHO, S., LEE, K. A 19-mW 2.6-mm2 L1/L2 dual band CMOS GPS receiver. IEEE Journal of Solid-State Circuits, 2005, vol. 40, no. 7, p. 1414 - 1425.
  13. TORRALBA, A., LUJIAN-MARTINEZ, C., CARAVAJAL, R. G., GALAN, J., PENNISI, M., RAMIREZ-ANGULO, J., LOPEZMARTIN, A. Tunable linear MOS resistors using quasi-floating-gate techniques. IEEE Transactions on Circuits and Systems II, 2009, vol. 56, no. 1, p. 41 - 45.
  14. XIANG, X., STURM, J. Tunable linear MOS resistor for RF applications. In IEEE 12th Topical Meeting on Silicon Monolithic Intergrated Circuits in RF Systems (SiRF). Santa Clara (CA, USA), 2012, p. 37 - 40.
  15. WAMBACQ, P., SANSEN, W. Distortion Analysis of Analog Integrated Circuits. Dordrecht (the Netherlands): Kluwer Academic Publishers, 1998.
  16. NGUYEN, T.-K., SU, Y.-M., LEE, S.-G. A power-constrained simultaneous noise and input matching low noise amplifier design technique. In Proceedings of International Symposium on Circuits and Systems (ISCAS). 2004, p. 281 - 284.
  17. HAN, K., ZOU, L., LIAO, Y., MIN, H., TANG, Z. A wideband CMOS variable gain low noise amplifier based on single-todifferential stage for TV tuner applications. IEEE Solid-State Circuits Conference (ASSCC). 2008, p. 457 - 460.
  18. CHANG, T., CHEN, J., RIGGE, L. A., LIN, J. ESD-protected wideband CMOS LNAs using modified resistive feedback techniques with chip-on-board packaging. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 8, p. 1817 - 1826.
  19. BORREMANS, J., WAMBACQ, P., SOENS, C., ROLAIN, Y., KUIJK, M. Low-area active-feedback low-noise amplifier design in scaled digital CMOS. IEEE Journal of Solid State Circuits, 2008, vol. 43, no. 11, p. 2422 - 2433.
  20. STURM, J., XIANG, X., PRETL, H. A 65 nm CMOS wide-band LNA with continuously tunable gain from 0 dB to 24 dB. In Proceedings of International Symposium on Circuits and Systems (ISCAS). 2013, p. 733 - 736.

Keywords: Low-noise amplifier, LNA, variable gain, tunable band, multi-standard receiver.

F. Alimenti, M. Virili, P. Mezzanotte, L. Roselli, V. Rericha, M. Pokorny, F. Iorio, R. Gaddi, C. Schepens [references] [full-text] [Download Citations]
A RF-MEMS Based Tunable Matching Network for 2.45-GHz Discrete-Resizing CMOS Power Amplifiers

This paper deals with the design and experimental validation of a tunable matching network for discrete-resizing CMOS power amplifiers operating at 2.45 GHz (i.e. in the WiFi frequency band). The network is based on a two stages ladder configuration and exploits high-Q MEMS capacitors to achieve the impedance tuning. Furthermore, since these capacitors can be programmed through a 3-wires serial interface, a fully digital control of the transformed impedance is achieved.

  1. CIDRONALI, A., MAGRINI, I., FAGOTTI, R., ALIMENTI, F., MERCANTI, M., MANES, G. Dynamic biasing in SiGe HBT for wideband step envelope tracking power amplifiers. International Journal of RF and Microwave Computer-Aided Engineering, 2008, vol. 18, no. 6, p. 564 - 573.
  2. ELORANTA, R.,SEPPINEN, P., KALLIOINEN, S., SA-ARELA, T., PARSSINEN, A. A multimode transmitter in 0:13μm CMOS using direct-digital RF modulator. IEEE Journal of Solid State Circuits, 2007, vol. 42, no. 12, p. 2774 - 2784.
  3. KAVOUSIAN, A., SU, D., HEKMAT, M., SHIRVANI, A., WOOLEY, B. A digitally modulated polar CMOS power amplifier with a 20-MHz channel bandwidth. IEEE Journal of Solid State Circuits, 2008, vol. 43, no. 10, p. 2251 - 2258.
  4. PRESTI, C., CARRARA, F., SCUDERI, A., ASBECK, P., PALMISANO, G. A 25 dBm digitally modulated CMOS power amplifier for WCDMA/EDGE/OFDM with adaptive digital predistortion and efficient power control. IEEE Journal of Solid State Circuits, 2009, vol. 44, no. 7, p. 1883 - 1896.
  5. CHOWDHURY, D., YE, L., ALON, E., NIKNEJAD, A. An efficient mixed-signal 2.4-GHz polar power amplifier in 65-nm CMOS technology. IEEE Journal of Solid State Circuits, 2011, vol. 46, no. 8, p. 1796 - 1809.
  6. AFSAHI, A., BEHZAD, A., MAGOON, V., LARSON, L. Linearized dual-band power amplifiers with integrated baluns in 65 nm CMOS for a 22 802.11n MIMO WLAN SoC. IEEE Journal of Solid State Circuits, 2010, vol. 45, no. 5, p. 955 - 966.
  7. KWON, D. H., LI, H., CHANG, Y., TSENG, R., CHIU, Y. Digitally equalized CMOS transmitter front-end with integrated power amplifier. IEEE Journal of Solid State Circuits, 2010, vol. 45, no. 8, p. 1602 - 1614.
  8. VAN BEZOOIJEN, A., DE JONGH, M., CHANLO, C., RUIJS, L., VAN STRATEN, F., MAHMOUDI, R., VAN ROERMUND, A. A GSM/EDGE/WCDMA adaptive series-LC matching network using RF-MEMS switches/ IEEE Journal of Solid State Circuits, 2008, vol. 43, no. 10, p. 2259 - 2268.
  9. CARRARA, F., PRESTI, C., PAPPALARDO, F., PALMISANO, G. A 2.4-GHz 24-dBm SOI CMOS power amplifier with fully integrated reconfigurable output matching network. IEEE Transaction on Microwave Theory and Techniques, 2009, vol. 57, no. 9, p. 2122 - 2130.
  10. CHANG, S.-F., CHEN, W.-L., CHANG, S.-C., TU, C.-K., WEI, C.- L., CHIEN, C.-H., TSAI, C.-H., CHEN, J., CHEN, A. A dual-band RF transceiver for multistandard WLAN applications. IEEE Transaction on Microwave Theory and Techniques, 2008, vol. 53, no. 3, p. 1048 - 1055.
  11. Agile RF Transceivers and Front-Ends for Future Smart Multi-Standard Communication Applications. ARTEMOS project. ENIAC-JU, call3, 2010. [Online] Available at: http://www.artemos.eu.
  12. ELFERGANI, I. T. E., SADEGHPOUR, T., ABD-ALHAMEED, R. A., HUSSAINI, A. S., NORAS, J. M., JONES, S., RODRIGUEZ, J. Reconfigurable antenna design for mobile handsets including harmonic radiation measurements. IET Microwaves Antennas and Propagation, 2012, vol. 6, no. 9, p. 990 - 999.
  13. BAKALSKI, W.., SIMBURGER, W., THURINGER, R., VASYLYEV, A., SCHOLTZ, A. A fully integrated 5.3-GHz 2.4-V 0.3-W SiGe bipolar power amplifier with 50

Keywords: Power Amplifiers (PA), Envelope Tracking (ET), discrete-resizing, CMOS, Tunable Matching Networks (TMN), MEMS capacitors, reconfigurable front-ends, WLAN

K. Niotaki, A. Collado, A. Georgiadis, J. Vardakas [references] [full-text] [Download Citations]
5 Watt GaN HEMT Power Amplifier for LTE

This work presents the design and implementation of a stand-alone linear power amplifier at 2.4 GHz with high output power. A GaN HEMT transistor is selected for the design and implementation of the power amplifier. The device exhibits a gain of 11.7 dB and a drain efficiency of 39% for an output power of 36.7 dBm at 2.4 GHz for an input power of 25dBm. The carrier to intermodulation ratio is better than 25 dB for a two tone input signal of 25 dBm of total power and a spacing of 5 MHz. The fabricated device is also tested with LTE input signals of different bandwidths (5MHz to 20MHz).

  1. WANG, F., KIMBALL, D. F., POPP, J. D., YANG, A. H., LIE, D. Y., ASBECK, P. M., LARSON L. E. An improved power-added efficiency 19-dBm hybrid envelope elimination and restoration power amplifier for 802.11g WLAN applications. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 12, p. 4086-4099.
  2. , Challenges and enabling technologies for energy aware mobile radio networks. IEEE Communications Magazine, 2010, vol. 48, no. 11, p. 66-72.
  3. RUMNEY, M. (ed.) LTE and the Evolution to 4G Wireless: Design and Measurement Challenges. John Wiley & Sons, 2012.
  4. CRIPPS, S. C. RF Power Amplifiers for Wireless Communications. 2nd ed. Artech House, 2006.
  5. MIAJA, P. F., SEBASTIAN, J., MARANTE, R., GARCIA, J. A. A linear assisted switching envelope amplifier for a UHF polar transmitter. IEEE Transactions on Power Electronics, 2014, vol. 29, no. 4, p. 1850-1861.
  6. BUMMAN KIM, JUNGJOON KIM, DONGSU KIM, JUNGHWAN SON, YUNSUNG CHO, JOOSEUNG KIM, BYUNGJOON PARK Push the envelope: Design concepts for envelope-tracking power amplifiers. IEEE Microwave Magazine, 2013, vol. 14, no. 3, p. 68-81.
  7. KIMBALL, D., YAN, J. J., THEILMANRR, P., HASSAN, M., ASBECK, P., LARSON, L. Efficient and wideband envelope amplifiers for envelope tracking and polar transmitters. In IEEE Topical Conference on Power Amplifiers for Wireless and Radio Applications, 2013, p.13-15.
  8. SHINJO, S., YOUNG-PYO HONG, GHEIDI, H., KIMBALL, D. F., ASBECK, P. M. High speed, high analog bandwidth buck converter using GaN HEMTs for envelope tracking power amplifier applications. In Proceedings of IEEE Topical Conference on Wireless Sensors and Sensor Networks (WiSNet). 2013, p.13-15.
  9. KYOUNG-JOON, CHO, JONG-HEON, KIM, STAPLETON, S. P. A highly efficient Doherty feedforward linear power amplifier for W-CDMA base-station applications. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 1, p. 292 to 300.
  10. KANBE, A., KANETA, M., YUI, F., KOBAYASHI, H., TAKAI, N., SHIMURA, T., HIRATA, H., YAMAGISHI, K. New architecture for envelope-tracking power amplifier for base station. In Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems. 2008, p. 296-299.
  11. KIMBALL, D. F., JEONG, JINHO, CHIN HSIA, DRAXLER, P., LANFRANCO, S., NAGY, W., LINTHICUM, K., LARSON, L. E., ASBECK, P. M. High-efficiency envelope-tracking W-CDMA base-station amplifier using GaN HFETs. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 11, p. 3848- 3856.
  12. MONPRASERT, G., SUEBSOMBUT, P., PONGTHAVORNKAMOL, T., CHALERMWISUTKUL, S. 2.45 GHz GaN HEMT class-AB RF power amplifier design for wireless communication systems. In Proceedings of the International Conference on Electrical Engineering/Electronics Computer Telecommunications and Information Technology. 2010, p. 566-569.
  13. KAMIYAMA, M., ISHIKAWA, R., HONJO, K. 5.65 GHz highefficiency GaN HEMT power amplifier with harmonics treatment up to fourth order. IEEE Microwave and Wireless Components Letters, 2012, vol. 22, no. 6, p. 315-317.
  14. CHIN HSIA, KIMBALL, D. F., ASBECK, P. M. Effect of maximum power supply voltage on envelope tracking power amplifiers using GaN HEMTs. In Proceedings of the IEEE Topical Conference on Power Amplifiers for Wireless and Radio Applications (PAWR). 2011, p. 69-72.
  15. CGH4006P 6W, RF Power GaN HEMT (datasheet) [Online]. Available at http://www.cree.com/RF/Products/General-Purpose- Broadband-28-V/Packaged-Discrete-Transistors/CGH40006P
  16. PEDRO, J. C., CARVALHO, N. B. Intermodulation Distortion in Microwave and Wireless Circuits. Artech House, 1996.
  17. CARVALHO, N. B., PEDRO, J. C. Large signal IMD sweet spots in microwave power amplifiers. In Proceedings of the IEEE Microwave Symposium Digest. 1999, vol. 2, p. 517-520.
  18. COLANTONIO, P., GIANNINI, F., GIOFRÈ, R. LIMITI, E., NANNI, A. Power amplifier design strategy to null IMD asymmetry. In Proceedings of the 36th European Microwave Conference. 2006, p. 1304-1307.
  19. CARVALHO, N. B., PEDRO, J. C. Two-tone IMD asymmetry in microwave power amplifiers. In Proceedings of the Microwave Symposium Digest. 2000, vol. 1, p. 445-448.
  20. COLANTONIO, P., GIANNINI, F., LIMITI, E., NANNI, A., CAMARCHIA, V., TEPPATI, V., PIROLA, M. Linearity and efficiency optimisation in microwave power amplifier design. In Proc. of the Microwave Integrated Circuit Conf. 2007, p. 283-286.

Keywords: ACPR, GaN HEMT, linearity, LTE, PAPR, power amplifier.

I. Elfergani, A. S.Hussaini, J. Rodriguez ,C.H. See, R. Abd-Alhameed [references] [full-text] [Download Citations]
Wideband Tunable PIFA Antenna with Loaded Slot Structure for Mobile Handset and LTE Applications

A compact planar inverted F antenna (PIFA) with a tunable frequency response is presented. Tuning of the resonant frequency is realized by loading a varactor on an embedded slot of the proposed antenna structure without further optimizing other antenna geometry parameters. The antenna exhibits a wide frequency range from 1570 to 2600 MHz with a good impedance matching (S11 ≤-10 dB) covering the GPS, PCS, DCS, UMTS, WLAN and LTE systems. To validate the theoretical model and design concept, the antenna prototype was fabricated and measured. The compact size of the antenna is 15mm × 8mm × 3mm, which makes this antenna a good candidate for mobile handset and wireless communication applications.

  1. BALANIS, C. A. Antenna Theory, Analysis and Design. New York: John Wiley & Sons, Inc., p. 64.
  2. WONG, K. L. Planar Antennas for Wireless Communications. John Wiley and Sons Inc., 2003.
  3. KING, R., HARISSON, C. W., DENTON, D. H. Transmissionline missile antennas. IRE Trans. Antennas Propagat.,1960, vol. 8, no. 1, p.88–90.
  4. TAGA, T., TSUNEKAWA, K. Performance analysis of a built-in planar inverted-f antenna for 800 MHz band portable radio units. IEEE Journal on Selected Areas in Communications, 1987, vol. 5. no. 5, p.921–929.
  5. TSUNEKAWA, .K. Diversity antennas for portable telephones. In IEEE 39thVehicular Technology Conference. May 1989, vol. 1, p. 50-56.
  6. SEE, C. H, ABD-ALHAMEED, R. A., EXCELL, P. S., MCEWAN, N. J., GARDINER, J. G. Internal triple band folded planar antenna design for third generation mobile handsets. IET Microwaves, Antennas & Propagation, Oct. 2008, vol. 2, no. 7, p. 718- 724.
  7. SEE, C. H, ABD-ALHAMEED, R. A., ZHOU, D., HRAGA, H. I., EXCELL, .P. S. A broadband dual planar inverted f-antenna for WLAN/WiMAX and lower-band UWB wireless applications. IET Microwaves, Antennas & Propagation, 2011, vol. 5, no. 6, p.644- 650.
  8. SEE, C. H, ABD-ALHAMEED, R. A., HRAGA, H. I., ELFERGANI, I. T. E., ABUSITTA, M. M., ADNAN, S. Design of a PIFA with parasitic F-element miniaturised antenna assembly for lower band ultra-wideband and IEEE 802.11a applications. Microwave Optical Technology Letters, Sept. 2011, vol. 53, p. 1970-1974.
  9. PARK, H., CHUNG, K., CHOI, J. Design of a planar inverted-F antenna with very wide impedance bandwidth. IEEE Microwave and Wireless Components Letters, 2003, vol. 16, p.113–115.
  10. SANZ-IZQUIERDO, B., BATCHELOR, J. C., LANGLEY, R. J., SOBHY, M. I. Single and double layer planar multiband PIFAs. IEEE Transactions on Antennas and Propagation, 2006, vol. 54. no. 5, p. 1416–1422.
  11. LINDBERG, P. Wide-band active and passive antenna solutions for hand held terminals. Ph.D. dissertation, Uppsala University, Sweden, Feb. 2007.
  12. ELFERGANI, I. T. E, ABD-ALHAMEED, R. A, SEE, C. H, JONES, S. M. R., EXCELL, P. S. A compact design of tunable band-notched ultra-wide band antenna. Microwave Optical Technology Letters, 2012, vol. 57, no. 7, p. 1642-1644.
  13. SALONEN, P., KESKILAMMI, M., KIVIKOSKI, M. Single-feed dual-band planar inverted-f antenna with u-shaped slot. IEEE Transactions on Antennas and Propagation, August 2000, vol. 48, no. 8, p. 1262 - 1264.
  14. NGUYEN, V. A., BHATTI, R. A., PARK, S. O. A simple PIFA based tunable internal antenna for personal communication handsets. IEEE Antennas and Wireless Propagation Letters, 2008, vol. 7, p. 130-133.
  15. NGUYEN, V. A., DAO, M. T., LIM, Y. T., PARK, S. O. A compact tunable internal antenna for personal communication handsets. IEEE Antennas and Wireless Propagation Letters, 2008, vol. 7, p. 569-572.
  16. OH, S. K., YOON, H. S., PARK, S. O. A PIFA-type varactortunable slim antenna with a PIL patch feed for multiband applications. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p 103-105.
  17. BEHDAD, N., SARABANDI, K. Dual-band reconfigurable antenna with a very wide tunabilty range. IEEE Transactions on Antennas and Propagation, Feb. 2006, vol. 54, no. 2, p. 409-416.
  18. ELFERGANI, I. T. E., ABD-ALHAMEED, R., BIN-MELHA, M. S., SEE, C., ZHOU, D. W., CHILD, M., EXCELL, P. A frequency tunable PIFA design for handset applications. In MOBIMEDIA 2010, LNICST 77. 2012, p. 688–693.
  19. ELFERGANI, I.T.E., HUSSAINI, A. S., ABD-ALHAMEED, R. A., SEE, C. H., ABUSITTA, M. M., HRAGA, H. I., ALHADDAD, A. G., RODRIGUEZ, J. Frequency tuned planar inverted F antenna with L shaped slit design for wide frequency range. In Progress in Electromagnetics Research Symposium Proceedings. Marrakesh (Morocco), Mar. 20-23, 2011, p. 443-447.
  20. Ansoft High Frequency Structure Simulator, v10 User Guide, CA, USA.

Keywords: Tunable antenna, varactor diode, PIFA antenna.

K. Anoh, R. Asif, R. Abd-Alhameed, J. Rodriguez, J. M. Noras, S.M.R. Jones, A. S. Hussaini [references] [full-text] [Download Citations]
Multi-Antenna OFDM System Using Coded Wavelet with Weighted Beamforming

A major drawback in deploying beamforming scheme in orthogonal frequency division multiplexing (OFDM) is to obtain the optimal weights that are associated with information beams. Two beam weighting methods, namely co-phasing and singular vector decomposition (SVD), are considered to maximize the signal beams for such beamforming scheme. Initially the system performance with and without interleaving is investigated using coded fast Fourier transform (FFT)-OFDM and wavelet-based OFDM. The two beamforming schemes are applied to the wavelet-based OFDM as confirmed to perform better than the FFT-OFDM. It is found that the beam-weight by SVD improves the performance of the system by about 2dB at the expense of the co-phasing method. The capacity performances of the weighting methods are also compared and discussed.

  1. NEGASH, B., NIKOOKAR, H. Wavelet-based multicarrier transmission over multipath wireless channels. Electronics Letters, 2000, vol. 36, p. 1787-1788.
  2. ZHANG, Y., S. CHENG, S. A novel multicarrier signal transmission system over multipath channel of low-voltage power line. IEEE Transactions on Power Delivery, 2004, vol. 19, p. 1668-1672.
  3. ASIF, R., GHAZAANY, T. S., ABD-ALHAMEED, R. A., et al. MIMO discrete wavelet transform for the next generation wireless systems. International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering (IJAREEIE), Oct. 2013, vol. 2, no. 10.
  4. NEGASH, B., NIKOOKAR, H Wavelet based OFDM for wireless channels. In IEEE VTS 53rd Vehicular Technology Conference, 2001. VTC 2001 Spring. 2001, p. 688-691.
  5. HUANG, Y., SUTER, B. The fractional wave packet transform. In Recent Developments in Time-Frequency Analysis, Ed: Springer, 1998, p. 67-70.
  6. ANOH, K. O. O., ABD-ALHAMEED, R. A., GHAZAANY, T., HUSSAINI, A. S., JONES, S., RODRIGUEZ, J. An evaluation of coded wavelet for multicarrier modulation with OFDM. International Journal on Communications Antenna and Propagation (IRECAP), 2013, vol. 3., No. 9, p. 83 - 89.
  7. VITERBI, A. Convolutional codes and their performance in communication systems. IEEE Transactions on Communication Technology, 1971, vol. 19, p. 751-772.
  8. ANOH, K., ELKAZMI, E., ABD-ALHAMEED, R., MADUBUKO, O., BIN-MELHA, M., JONES, S., GHAZAANY, T. Improved multi-antenna system capacity using beamformer weights. In 2013 8th International Design and Test Symposium (IDT). 2013, p. 1-4.
  9. JAMIN, A., MAHONEN, P. Wavelet packet modulation for wireless communications. Wireless Communications and Mobile Computing, 2005, vol. 5, p. 123-137.
  10. GOLDSMITH, A. Wireless Communications. Cambridge University Press, 2005.
  11. W. MENG, W., GU, L., LI, C. The combined beamforming and space-time block coding technique for downlink transmission. In 2005 International Conference on Wireless Networks, Communications and Mobile Computing. 2005, p. 481-486.
  12. FIGUEIREDO, D. V., RAHMAN, M. I., MARCHETTI, N., FITZEK, F. H., KATZ, M. D., CHO, Y., PRASAD, R. Transmit diversity vs beamforming for multi-user OFDM systems. Wireless Personal Mobile Communications, 2004.
  13. PROAKIS, J., SALEHI, M. Digital Communications. Fifth ed. Asia: McGraw-Hill, 2008.
  14. McKAY, M. R., COLLINGS, I. B., SMITH, P. J. Capacity and SER analysis of MIMO beamforming with MRC. In IEEE International Conference on Communications, 2006. ICC'06. 2006, p. 1326-1330.
  15. HOLTER, B., ØIEN, G. E. The optimal weights of a maximum ratio combiner using an eigenfilter approach. In Proc. 5th IEEE Nordic Signal Processing Symposium (NORSIG-2002). Hurtigruten (Norway), 2002.
  16. SHAH, A., HAIMOVICH, A. Performance analysis of maximal ratio combining and comparison with optimum combining for mobile radio communications with cochannel interference. IEEE Transactions on Vehicular Technology, 2000, vol. 49, p. 1454- 1463.

Keywords: Beamforming, steering, MIMO, wavelet, OFDM, ISI, FFT, LTE, beam-weight

A. Hueltes, J. Verdu, C. Collado, J. Mateu, E. Rocas, J. L. Valenzuela [references] [full-text] [Download Citations]
Filtenna Integration Achieving Ideal Chebyshev Return Losses

This paper demonstrates that it is possible to find an ideal filter response (Chebyshew, Butterworth,..) considering the antenna as the last resonator of a filter under certain circumstances related with the antenna performance and the bandwidth of the filtenna device. If these circumstances are not accomplished, we can achieve excellent performance as well, by means of an iterative process the goal of which is defined by either a filter mask or a classical filter function itself. The methodology is based on the conventional coupling matrix technique for filter design and has been validated by fabricating a microstrip prototype using hairpin resonators and a rectangular patch antenna.

  1. AN, H., NAUWELAERS, B. K. J. C., CAPELLE, A. R. V. D. Broadband microstrip antenna design with the simplified real frequency technique. IEEE Transactions on Antennas and Propagation, 1994, vol. 42, no. 2, p. 129-136.
  2. MATTHAEI, G. L. Microwave Filters, Impedance-matching Networks, and Coupling Structures. McGraw-Hill, 1964.
  3. TROUBAT, M., BILA, S., THEVENOT, M., BAILLARGEAT, D., MONEDIÈRE, T., VERDEYME, S., JECKO, B. Mutual synthesis of combined microwave circuits applied to the design of a filter-antenna subsystem. IEEE Transactions on Microwave Theory and Techniques, 2007, vol. 55, no. 6, p 1182-1189.
  4. CHEN, Y., ZHOU, Y. Design of a filter-antenna subsystem for UWB communications. In IEEE International Symposium on Microwaves, Antennas, Propagation and EMC Technologies for Wireless Communications. 2009, p 593 – 595.
  5. VERDU, J., PERRUISSEAU-CARRIER, J., COLLADO, C., MATEU, J., HUELTES, A. Microstrip patch antenna integration on a bandpass filter topology. In 12th Mediterranean Microwave Symposium (MMS2012). Istanbul (Turkey), 2012.
  6. NAEEM, U., BILA, S., VERDEYME, S., CHREIM, H., CHANTALAT, R., THEVENOT, M., MONEDIÈRE, T., PALACIN, B., CAILLOCE, Y. A simplified methodology for matched filter design with constraints – filter-antenna subsystem for space applications. In IEEE MTT-S International Microwave Symposium Digest (MTT), 2010.
  7. QUERE, Y., QUENDO, C., EL HAJJ, W., PERSON, C. A global synthesis tool and procedure for filter-antenna co-design. In International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM). 2012
  8. HALL, P. Progress in active integrated antennas. In 28th European Microwave Conference, 1998, vol. 2, p 735 – 740.
  9. LEONG, K. M. K. H, ITOH, T. Developments in active integrated antennas. In Antennas and Propagation Society International Symposium, 2003, vol. 1, p 212 – 215.
  10. HONG, J. G., LANCASTER, M. J. Microstrip Filters for RF/Microwave Applications. Wiley, 2001.
  11. CAMERON, R. J., KUDSIA, C. M., MANSOUR, R. R. Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Wiley-Interscience, 2007.
  12. Advanced Design System. Agilent Technologies, Santa Clara, CA.

Keywords: Filtenna, filter, antenna, return losses, Chebyshev, optimal bandwidth.

E. Rocas, J. Mateu, C. Collado, A. Hueltes, J. Verdu, C. Billard, J.-B. David, A. Reinhardt [references] [full-text] [Download Citations]
Nonlinear Performance of BAW Filters Including BST Capacitors

This paper evaluates the nonlinear effects occurring in a bulk acoustic wave (BAW) filter which includes barium strontium titanate (BST) capacitors to cancel the electrostatic capacitance of the BAW resonators. To do that we consider the nonlinear effects on the BAW resonators by use of a nonlinear Mason model. This model accounts for the distributed nonlinearities inherent in the materials forming the resonator. The whole filter is then implemented by properly connecting the resonators in a balanced configuration. Additional BST capacitors are included in the filter topology. The nonlinear behavior of the BST capacitors is also accounted in the overall nonlinear assessment. The whole circuit is then used to evaluate its nonlinear behavior. It is found that the nonlinear contribution arising from the ferroelectric nature of the BST capacitors makes it impractical to fulfill the linearity requirements of commercial filters.

  1. AIGNER, R. SAW, BAW and the Future of Wireless. 2 pages. [online] Cited 2014-01-10. Available at: http://www.edn.com/design/wireless-networking/4413442/SAW-- BAW-and-the-future-of-wireless
  2. BI, F. Z, BARBER, B. P. Bulk acoustic wave RF technology. IEEE Microwave Magazine, Oct. 2008, vol. 9, no. 5, p. 65-80.
  3. LAKIN, K. A review of thin-film resonator technology. IEEE Microwave Magazine, Dec. 2003, vol. 4, no. 4, p. 61–67.
  4. LAKIN, K. Thin film resonator technology. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, May 2005, vol. 52, no. 5, p. 707–716.
  5. ROCAS, E., COLLADO, C., MATEU, J., ORLOFF, N., O'CALLAGHAN, J. M., BOOTH, J. C. A large-signal model of ferroelectric thin-film transmission lines. IEEE Transactions on Microwave Theory and Techniques, Dec. 2011, vol. 59, no. 12, p. 3059-3067.
  6. MASON, W. P. Electronical Transducers and Wave Filters. New York: Van Nostrand, 1948.
  7. ROCAS, E., COLLADO, C., MATEU, J., ORLOFF, N., BOOTH, J. C., AIGNER, R. Electro-thermo-mechanical model for bulk acoustic wave resonators. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, Nov. 2013, vol. 60, no. 11, p. 2389-2403.
  8. SHIM, D. S., FELD, D. A. A general nonlinear Mason model of arbitrary nonlinearities in a piezoelectric film. In IEEE Ultrasonics Symposium (IUS). Oct. 2010, p. 295-300.
  9. LEE, C-H., et al. Exploiting dimensionality and defect mitigation to create tunable microwave dielectrics. Nature, Oct. 2013, vol. 502, p. 532–536.
  10. CHASE, D.R., CHEN, L.Y., YORK, R.A. Modeling the capacitive non linearity in thin film BST varactors. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, p. 3215.
  11. UEDA, U.M., MASAFUMI, I., TOKIHIRO N., SATOH, Y., HASHIMOTO, K.-Y. A circuit model for nonlinear simulation of radio-frequency filters using bulk acoustic wave resonators. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, April 2008, vol. 55, no. 4, p. 849-856.

Keywords: BAW filter, resonator, nonlinear, ferroelectric, BST

L. Polak, O. Kaller, L. Klozar, J. Sebesta, T. Kratochvil [references] [full-text] [Download Citations]
Mobile Communication Networks and Digital Television Broadcasting Systems in the Same Frequency Bands – Advanced Co-Existence Scenarios

The increasing demand for wireless multimedia services provided by modern communication systems with stable services is a key feature of advanced markets. On the other hand, these systems can many times operate in a neighboring or in the same frequency bands. Therefore, numerous unwanted co-existence scenarios can occur. The aim of this paper is to summarize our results which were achieved during exploration and measurement of the co-existences between still used and upcoming mobile networks (from GSM to LTE) and digital terrestrial television broadcasting (DVB) systems. For all of these measurements and their evaluation universal measurement testbed has been proposed and used. Results presented in this paper are a significant part of our activities in work package WP5 in the ENIAC JU project “Agile RF Transceivers and Front-Ends for Future Smart Multi-Standard Communications Applications (ARTEMOS)”.

  1. ARTEMOS. Agile RF Transceivers and Front-Ends for Future Smart Multi-Standard Communications Applications. Online: http://www.artemos.eu/
  2. Coexistence of Digital TV and LTE (Application Note 1MA176_e3), Rohde & Schwarz, 2012, 34 pages.
  3. TYTGAT, L., et al. Avoiding collisions between IEEE 802.11 and IEEE 802.15.4 through coexistence aware clear channel assessment. EURASIP Journal on Wireless Communications and Networking, 2012, 2012: 137, p. 1-15.
  4. SHAMSAN, A. Z., RAHMAN, T. A. Intersystem interference scenarios between fixed and IMT-advanced services in different terrestrial regions. In Proc. of the 36th Future Computer and Communication International Conference (ICFCC 2009). Kuala Lumpur (Malaysia), 2009, p. 237-240.
  5. SAMI, W. How can mobile and broadcasting networks use adjacent bands? EBU Technical Review, 2011, Q1, p. 1-20.
  6. MIKULKA, J., HANUS, S. Bluetooth and IEEE 802.11b/g coexistence simulation. Radioengineering, 2008, vol. 17, no. 3, p. 66-73.
  7. GLEISSNER, F., HANUS, S. Co-channel and adjacent channel interference measurement of UMTS and GSM/EDGE systems in 900 MHz radio band. Radioengineering, 2008, vol. 17, no. 3, p. 74-80.
  8. HOLIS, J., PECHAC, P. Coexistence of terrestrial and HAP 3G networks during disaster scenarios. Radioengineering, 2008, vol. 17, no. 4, p. 1-7.
  9. AHMED, M., RAHMAN, T., ABDALLA, Y. HAPS gateway link in the 5850-7075 MHz and coexistence with fixed satellite service. Radioengineering, 2013, vol. 22, no. 3, p. 828-833.
  10. CAI, T., DENG, J. Coexistence study and interference analysis in LTE networks. In Proc. of the Control Engineering and Communication Technology International Conference (ICCECT 2012). Shenyang, Liaoning (China), 2012, p. 751-754.
  11. LOURERIO, A., GALLEGOS, D., CALDWELL, G. Interference analysis on UMTS-2100 co-existence with GSM-1900. In Proc. of the 74th Vehicular Technology VTC Fall International Conference. San Francisco (USA), 2011, p. 1-4.
  12. HAN, B., WANG, W., LI, Y., PENG, M. Investigation of interference margin for the co-existence of macrocell and femtocell in Orthogonal Frequency Division Multiple Access systems. IEEE Systems Journal, 2013, vol. 7, no. 1, p. 59-67.
  13. KUMAR, S., SHENDE, N., MURTHY, CH. R., AYYAGARI, A. Throughput analysis of primary and secondary networks in a shared IEEE 802.11 system. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 3, p. 1006-1017.
  14. RUTTIK, K., KOUFOS, K., JANTTI, R. Modeling of the secondary system’s generated interference and studying of its impact on the secondary system design. Radioengineering, 2010, vol. 19, no. 4, p. 488-493.
  15. KIM, H., SUNAHARA, H, KATO, A. Comparison on DTV affected range by difference of secondary user bandwidth in adjacent channel. In Proc. of the 9th Wireless Communication Systems Symposium (ISWCS). Paris (France), 2012, p. 96-100.
  16. KANG, D.-H., ZHIDKOV, S V., CHOI, H.-J. An adaptive detection and suppression of co-channel interference in DVB-T/H system. IEEE Transactions on Consumer Electronics, 2010, vol. 56, no. 3, p. 1320-1327.
  17. GUIDOTTI, A., et al. Coexistence and mutual interference between mobile and broadcasting systems. In Proc. of the IEEE 73rd Vehicular Technology (VTC 2011) International Conference. Budapest (Hungary), 2011, p. 1-5.
  18. SAKIC, K., GOSTA, M., GRGIC, S. Cross-border interference between broadcasting and mobile services. In Proc. of the 51st ELMAR International Symposium. Zadar (Croatia), 2009, p. 229 to 232.
  19. SAKIC, K., GRGIC, S. The influence of the LTE system on DVB-T reception. In Proc. of the 52nd ELMAR International Symposium. Zadar (Croatia), 2010, p. 235-238.
  20. BARUFFA, G., FEMMINELLA, M., MARIANI, F., REALI, G. Modeling of the protection ratio and antenna separation for DVB-T/LTE coexistence issues. IEEE Communication Letters, 2013, vol. 17, no. 8, p. 1588-1591.
  21. ALOISI, A., CELINDONIO, M., PULCINI, L., RUFINI, A. A simulation study of broadband mobile systems interference on DVB-T systems operating in the UHF frequency band. In 2011 Future Network & Mobile Summit. Warsaw (Poland), 2011, p. 1-8.
  22. ANIS, M. M., LAGRANGE, X., PYNDIAH, R. A simple model for DVB and LTE cooperation. In IEEE Broadband Multimedia Systems and Broadcasting (BMSB) International Symposium. London (United Kingdom), 2013, p. 1-6.
  23. CHEN, Y.-X., XIAO, L., SUN, Y. Interference simulation from LTE to digital terrestrial television. In Proc. of the 7th Wireless Communications, Networking and Mobile Computing (WiCOM) International Conference. Wuhan (China), 2011, p. 1-4.
  24. POLAK, L., KALLER, O., KLOZAR, L., PROKOPEC, J. Measuring of the interactions between mobile and DVB-T broadcasting networks. In Proc. of the 22nd Radioelektronika Intern. Conference. Brno (Czech Republic), 2012, p. 243-246.
  25. KLOZAR, L., POLAK, L., KALLER, O., PROKOPEC, J. Effect of co-existence interferences on QoS of HSPA/WDCMA mobile networks. In Proc. of the 23rd Radioelektronika International Conference. Pardubice (Czech Republic), 2013, p. 312-315.
  26. POLAK, L., KALLER, O., KLOZAR, L., PROKOPEC, J. Influence of mobile network interfering products on DVB-T/H broadcasting services. In Proc. of the 5th Wireless Days 2012 International Conference. Dublin (Ireland), 2012, p. 1-5.
  27. YANFEI, S. Huawei Technologies Co., Ltd., Huawei E1750 11.126.10.00.00, V100R001, Release Notes, Jan. 2010
  28. EN 300 744 V1.6.1 (2009-01). Digital Video Broadcasting (DVB); Frame structure, channel coding and modulation for digital terrestrial television. European Standard ETSI, 2009.
  29. POLAK, L., KALLER, O., KLOZAR, L., PROKOPEC, J. Exploring and measuring the co-existence between LTE and DVB-T2-Lite services. In Proc. of the 36th Telecommunications and Signal Processing (TSP) International Conference. Rome (Italy), 2013, p. 316-320.
  30. RAIDA, Z., et al. Center of Sensor, Information and Communication Systems (SIX): Full operation starting. Radioengineering, 2013, vol. 22, no. 4, p. 1108-1116.
  31. WANG, Z., BOVIK, A. C., SHEIKH, H. R., SIMONCELLI, E.P. Image quality assessment: From error visibility to structural similarity. IEEE Transactions on Image Processing, 2004, vol. 13, no. 4, p. 600-612.
  32. EN 302 755 V1.3.1 (2012-04). Digital Video Broadcasting (DVB); Frame structure channel coding and modulation for a second generation digital terrestrial television broadcasting system (DVB-T2). European Standard ETSI, 2011.
  33. TR 102 831 V1.2.1 (2012-08). Digital Video Broadcasting (DVB); Implementation guidelines for a second generation digital terrestrial television broadcasting system (DVB-T2). Tech. Report ETSI, 2012.
  34. VANGELISTA, L., et al. Key technologies for next-generation terrestrial digital television standards DVB-T2. IEEE Commun. Magazine, 2009, vol. 47, no. 10, p. 146-153.
  35. TS 136 101 V10.3.0 (2011-06). Evolved Universal Terrestrial Radio Access (E-UTRA); User Equipment (UE) radio transmission and reception. Tech. specification ETSI, 2011.
  36. ASTELY, D., et al. LTE: The evolution of mobile broadband. IEEE Communication Magazine, 2009, vol. 47, no. 4, p. 44-51.
  37. POLAK, L., KRATOCHVIL, T. Analysis and simulation of the transmission distortions of the mobile digital television DVB-SH Part 1: Terrestrial mode DVB-SH-A with OFDM. Radioengineering, 2011, vol. 21, no. 4, p. 952-960.
  38. SUM, CH. S., et al. Cognitive communication in TV white spaces: An overview of regulations, standards, and technology. IEEE Communications Magazine, 2013, vol. 51, no. 7, p. 138-145.
  39. XIAO, J., HU, R. Q., QIAN, Y., GONG, L., WANG, B. Expanding LTE network spectrum with cognitive radios: From concept to implementation. IEEE Wireless Communications, 2013, vol. 20, no. 2 p. 12-19.
  40. Generating a Test Signal Distributed DVB-T2 MISO (Application Note 7BM80_1E). Rohde & Schwarz, 2012, 12 pages.
  41. DOPPLER, K., et al. Device-to-device communication as underlay to LTE-advanced networks. IEEE Communication. Magazine, 2009, vol. 47, no. 12, p. 42-49.
  42. XU, S., WANG, H., CHEN, T. Effective interference cancelation mechanisms for D2D communication in multi-cell cellular networks. In Proc. of the 75th Vehicular Technology International Conference. Yokohama (Japan), 2012, p. 1-5.

Keywords: Co-existence of advanced wireless systems, GSM, HSPA/WCDMA, LTE, DVB-T/H/T2/-T2-Lite, ideal and fading channel conditions, SDR, BER, EVM

G. Baruffa, L. Rugini, P. Banelli [references] [full-text] [Download Citations]
Design and Validation of a Software Defined Radio Testbed for DVB-T Transmission

This paper describes the design and validation of a Software Defined Radio (SDR) testbed, which can be used for Digital Television transmission using the Digital Video Broadcasting - Terrestrial (DVB-T) standard. In order to generate a DVB-T-compliant signal with low computational complexity, we design an SDR architecture that uses the C/C++ language and exploits multithreading and vectorized instructions. Then, we transmit the generated DVB-T signal in real time, using a common PC equipped with multicore central processing units (CPUs) and a commercially available SDR modem board. The proposed SDR architecture has been validated using fixed TV sets, and portable receivers. Our results show that the proposed SDR architecture for DVB-T transmission is a low-cost low-complexity solution that, in the worst case, only requires less than 22% of CPU load and less than 170 MB of memory usage, on a 3.0 GHz Core i7 processor. In addition, using the same SDR modem board, we design an off-line software receiver that also performs time synchronization and carrier frequency offset estimation and compensation.

  1. DVB PROJECT. Digital Video Broadcasting (DVB); Framing structure, channel coding and modulation for digital terrestrial television, ETSI EN 300 744 V1.6.1 (2009-01). 2009. Available at: http://www.dvb.org.
  2. POGGIONI, M., RUGINI, L., BANELLI, P. DVB-T/H and TDMB: Physical layer performance comparison in fast mobile channels. IEEE Transactions on Broadcasting, 2009, vol. 55, no. 4, p. 719 - 730.
  3. TUTTLEBEE, W. H. Software-defined radio: facets of a developing technology. IEEE Personal Communications, 1999, vol. 6, no. 2, p. 38 - 44.
  4. PALKOVIC, M., RAGHAYAN, P., LI, M., DEJONGHE, A., VAN DER PERRE, L., CATTHOOR, F. Future software-defined radio platforms and mapping flows. IEEE Signal Processing Magazine, 2010, vol. 27, no. 2, p. 22 - 33.
  5. BLAKE, G., DRESLINSKI, R. G., MUDGE, T. A survey of multicore processors. IEEE Signal Processing Magazine, 2009, vol. 26, no. 6, p. 26 - 37.
  6. ZHENG, K., HUANG, L., LI, G., CAO, H., WANG, W., DOHLER, M. Beyond 3G evolution. IEEE Vehicular Technology Magazine, 2008, vol. 3, no. 2, p. 30 - 36.
  7. DAYANANDA, M. S., PRIYANKA, J. Managing Software Defined Radio through cloud computing. In Proceedings of the 2012 IEEE International Conference on Advanced Communication Control and Computing Technologies. Ramanathapuram (India), 2012, p. 50 - 55.
  8. GNU Radio, a free & open-source software development toolkit for SDR. Available at: http://gnuradio.org.
  9. BURGESS, D. A, SAMRA H. The Open BTS Project – an opensource GSM base station. September 2008.
  10. OPENBTS TEAM. OpenBTS. Available at: http://openbts.org/.
  11. HEIMERL, K., BREWER, E. The village base station. In Proceedings of the 4th ACM Workshop on Networked Systems for Developing Regions Systems. San Francisco (CA), 2010, p. 5 - 6.
  12. ANAND, A., JOHNSON, D. L., BELDING, E. M. VillageCell: cost effective cellular connectivity in rural areas. In Proceedings of the International Conference on Information and Communication Technologies and Development. Atlanta (GA), 2012, p. 180 - 189.
  13. AMARISOFT. Amari LTE 100, Software LTE base station on PC. Available at: http://www.amarisoft.com/.
  14. OSLD PROJECT. Open Source Long-Term Evolution (LTE) deployment. Available at: https://sites.google.com/site/osldproject/.
  15. KRATOCHVIL, T., SLANINA, M. The DVB channel coding application using the DSP development board MDS TM-13 IREF. Radioengineering, 2004, vol. 12, no. 4, p. 14 - 17.
  16. PELLEGRINI, V., BACCI, G., LUISE, M. Soft-DVB: A fullysoftware GNURadio-based ETSI DVB-T modulator. In Proceedings of the 5th Karlsruhe Workshop on Software Radios. Karlsruhe (Germany), 2008.
  17. ETTUS RESEARCH. USRP N200/N210 Networked Series. September 2012. Available at: https://www.ettus.com/content/files/07495_Ettus_N200- 210_DS_Flyer_HR_1.pdf.
  18. GRONROOS, S., NYBOM, K., BJORKVIST, J. Complexity analysis of software defined DVB-T2 physical layer. Analog Integrated Circuits and Signal Processing, 2011, vol. 69, no. 2 - 3, p. 131 - 142.
  19. DVB PROJECT. Digital Video Broadcasting (DVB); Framing structure, channel coding and modulation for a second generation digital terrestrial television broadcasting system (DVB-T2), ETSI EN 302 755 version 1.3.1 (2012-04). 2012. Available at: http://www.dvb.org.
  20. SLIMANI, M., ROBERT, J., ZOELLNER, J. A software-based mobile DVB-T2 measurement receiver. In Proceedings of the 2012 IEEE International Symposium on Broadband Multimedia Systems and Broadcasting. Seoul (South Korea), 2012, p. 1 - 6.
  21. HASSE, P., ROBERT, J. A software-based real-time DVB-C2 receiver. In Proceedings of the 2011 IEEE International Symposium on Broadband Multimedia Systems and Broadcasting. Nuremberg (Germany), 2011, p. 1 - 6.
  22. POLAK, L., KRATOCHVIL, T. DVB-T and DVB-T2 performance in fixed terrestrial TV channels. In Proceedings of 35th International Conference on Telecommunications and Signal Processing (TSP 2012). Prague (Czech Republic), 2012, p. 725 - 729.
  23. PROAKIS, J. G. Digital Communications. 3rd ed. New York: McGraw-Hill, 1995.
  24. MICROSOFT MSDN. Intel Overview of New Instructions and Extensions, July 2009. Available at: http://msdn.microsoft.com/enus/ library/2ek511cc(v=vs.90).aspx.
  25. DE MESMAY, F., CHELLAPPA, S., FRANCHETTI, F., PUSCHEL, M. Computer generation of efficient software Viterbi decoders. In Proceedings of the International Conference on High Performance Embedded Architectures and Compilers. Pisa (Italy), 2010, p. 353 - 368.
  26. TSENG, S.-M., KUO, Y.-C., KU, Y.-C., HSU, Y.-T. Software Viterbi decoder with SSE4 parallel processing instructions for software DVB-T receiver. In Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications. Chengdu (China), 2009, p. 102 - 105.
  27. YU, J.-C., SHIH, J.-Z., HSU, Y.-T., TSENG, S.-M. Reed-Solomon decoder optimization for PC-based DVB-T software radio receiver. In Proceedings of the 2011 IEEE International Conference on Consumer Electronics. Las Vegas (NV), 2011, p. 393 - 394.
  28. TAN, K., LIU H., ZHANG, J., ZHANG, Y., FANG, J., VOELKER, G. M. Sora: high-performance software radio using general-purpose multi-core processors. Communications of the ACM, 2011, vol. 54, no. 1, p. 99 - 107.
  29. WEI, X., QI, X., XIAO, L., SHI, Z., HUANG, L. Software-defined radio based on Cortex-A9. In Proceedings of 7th International ICST Conference on the Communications and Networking in China. Kunming (China), 2012, p. 758 - 761.
  30. HENTSCHEL, T., FETTWEIS, G. Sample rate conversion for software radio. IEEE Communications Magazine, 2000, vol. 38, no. 8, p. 142 - 150.
  31. GARDNER, F. M. Interpolation in digital modems—Part I: Fundamentals. IEEE Transactions on Communications, 1993, vol. 41, no. 3, p. 501 - 507.
  32. HARRIS, F. J. On the use of windows for harmonic analysis with the discrete Fourier transform. Proceedings of the IEEE, 1978, vol. 66, no. 1, p. 51 - 83.
  33. BARUFFA, G., FEMMINELLA, M., MARIANI, F., REALI, G. Protection ratio and antenna separation for DVB-T/LTE coexistence issues. IEEE Communications Letters, 2013, vol. 17, no. 8, p. 1588 - 1591.
  34. SPETH, M., FECHTEL, S., FOCK, G., MEYR, H. Optimum receiver design for OFDM-based broadband transmission—Part II: A case study. IEEE Transactions on Communications, 2001, vol. 49, no. 4, p. 571 - 578.
  35. VAN DE BEEK, J.-J., SANDELL, M., BORJESSON, P. O. ML estimation of time and frequency offset in OFDM systems. IEEE Transactions on Signal Processing, 1997, vol. 45, no. 7, p. 1800 - 1805.
  36. CHEN, S.-H., HE, W.-H., CHEN, H.-S., LEE, Y. Mode detection, synchronization, and channel estimation for DVB-T OFDM receiver. In Proceedings of IEEE Global Telecommunications Conference. San Francisco (CA), 2003, vol. 5, p. 2416 - 2420.
  37. RUGINI, L., BANELLI, P. BER of OFDM systems impaired by carrier frequency offset in multipath fading channels. IEEE Transactions on Wireless Communications, 2005, vol. 4, no. 5, p. 2279 - 2288.

Keywords: Software Defined Radio, Digital Video Broadcasting-Terrestrial, USRP, OFDM, time synchronization, carrier frequency offset estimation

D. Perez-Calderon, V. Baena-Lecuyer, J. G. Doblado, A. C. Oria, P. Lopez [references] [full-text] [Download Citations]
Simplified Metrics Calculation for Soft Bit Detection in DVB-T2

The constellation rotation and cyclic quadrature component delay (RQD) technique has been adopted in the second generation terrestrial digital video broadcasting (DVB-T2) standard. It improves the system performance under severe propagation conditions, but introduces serious complexity problems in the hardware implementation of the detection process. In this paper, we present a simplified scheme that greatly reduces the complexity of the demapper by simplifying the soft bit metrics computation having a negligible overall system performance loss.

  1. European Telecommunications Standard Institute ETSI York, Digital Video Broadcasting (DVB); Frame structure, channel coding and modulation for a Second Generation Digital Terrestrial Television Broadcasting System (DVB T2). EN 302 755 V.1.3.1., Apr. 2012.
  2. PEREZ-CALDERON, D., ORIA, A. C., DOBLADO, J. G., LOPEZ, O., BAENA-LECUYER, V., LACADENA, I. Rotated constellation for DVB-T2. In Proceedings of DCIS 2009. 2009, p. 187–191.
  3. POLAK, L., KRATOCHVIL, T. Performance of the rotated constellation in DVB-T2. In Proceedings of 7th International Conference on Digital Telecommunications (ICDT 2012). Chamonix/Mont Blanc (France), 2012, p. 84 – 87.
  4. European Telecommunications Standard Institute ETSI York, Digital Video Broadcasting (DVB); Implementation guidelines for a second generation digital terrestrial television broadcasting system (DVB-T2). ETSI TS 102 831 V. 1.2.1, Aug. 2012.
  5. BAE, K., KIM, K., YANG, H. One-dimensional soft-demapping using decorrelation with interference cancellation for rotated QAM constellations. In Proc.of the 9th Annual IEEE Consumer Communications and Networking Conf. (CCNC’12). 2012, p. 787 – 791.
  6. PEREZ-CALDERON, D., BAENA LECUYER, V., ORIA, A. C., LOPEZ, P., DOBLADO, J. G. Rotated constellation demapper for DVB-T2. IET Electronics Letters, 2011, vol. 47, no. 1, p. 31 – 32.
  7. LI, M., NOUR, C. A., JEGO, C., DOUILLARD, C. Design of rotated QAM mapper/demapper for the DVB-T2 standard. In IEEE Workshop on Signal Processing Systems, 2009, p. 18 – 23.
  8. BAE, K., KIM, K., YANG, H. Low complexity two-stage soft demapper for rotated constellation in DVB-T2. In Proceedings of 2012 IEEE International Conference on Consumer Electronics (ICCE). 2012, p. 618 – 619.
  9. TOMASIN, S., BUTUSSI, M. Low complexity demapping of rotated and cyclic Q delayed constellations for DVB-T2. IEEE Wireless Communications Letters, 2012, vol. 1, no. 2, p. 81 – 84.
  10. PEREZ-CALDERON, D., BAENA LECUYER, V., ORIA, A. C., LOPEZ, P., DOBLADO, J. G. Simplified rotated constellation demapper for second generation terrestrial digital video broadcasting. IEEE Transactions on Broadcasting, 2013, vol. 59, p. 160-167.
  11. SALEH, M. Z., SADEK, M., ELRAMLY, S. Novel hybrid softhard demodulation schemes for RQD constellation. In Proceedings of the 35th International Conf. on Telecommunications and Signal Processing (TSP). Prague (Czech Republic), 2012, p. 684 – 688.
  12. KRAUSE, E. F. Taxicab Geometry: An Adventure in Non- Euclidean Geometry. Dover, 1987.
  13. European Telecommunications Standard Institute ETSI York, Digital Video Broadcasting (DVB); Framing structure, channel coding and modulation for digital terrestrial television. ETSI EN 300 744 V1.6.1, Jan 2009.
  14. HAFFENDEN, O. DVB-T2: The common simulation platform. BBC Research White Paper, 2011, no. 196, p. 1-25.
  15. NOUR, CH. A., DOUILLARD, C. Rotated QAM constellations to improve BICM performance for DVB-T2. In Proceedings of 10th International Symposium on Spread Spectrum Techniques and Application: ISSSTA´08. Bologna (Italy), 2008, p. 354-359
  16. POLAK, L., KRATOCHVIL, T. Behavior of the BICM module of the DVB-T2 standard in the MRE channel with erasures. In Proceedings of 23rd International Conference Radioelektronika. Pardubice (Czech Republic), 2013, p. 316-319.

Keywords: Demapper, DVB-T2, OFDM, rotated constellation

O. Zach, M. Slanina [references] [full-text] [Download Citations]
A Matlab-Based Tool for Video Quality Evaluation without Reference

This paper deals with the design of a Matlab based tool for measuring video quality with no use of a reference sequence. The main goals are described and the tool and its features are shown. The paper begins with a description of the existing pixel-based no-reference quality metrics. Then, a novel algorithm for simple PSNR estimation of H.264/AVC coded videos is presented as an alternative. The algorithm was designed and tested using publicly available video database of H.264/AVC coded videos. Cross-validation was used to confirm the consistency of results.

  1. WANG, Z., BOVIK, A. C., SHEIKH, H. R., SIMONCELLI, E. P. Image quality assessment: From error visibility to structural similarity. In IEEE Transactions on Image Processing, 2004, vol. 13, no. 4, p. 600 - 612.
  2. ITU-T Study Group 12, Contribution COM12-39. Video Quality Assessment using Objective Parameters Based on Image Segmentation. 1997.
  3. NACCARI, M., TAGLIASACCHI, M. et al. A reduced-reference video structural similarity metric based on no-reference estimation of channel-induced distortion. In IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). Taipei (Taiwan), 2009, p. 1857 - 1860.
  4. VQEG. Validation of Reduced-Reference and No-Reference Objective Models for Standard Definition Television, Phase I. final report. 2009.
  5. MURTHY, A. V., KARAM, J. L. IVQUEST- Image and Video QUality Evaluation SofTware. [Online] Cited 2013-10-14. Available at: http://ivulab.asu.edu/software/quality/ivquest.
  6. YOOCKIN, V., RATUSHNYAK, A. MSU Video Quality Measurement Tool. [Online] Cited 2013-09-05. Available at: http://compression.ru/video/quality measure/video measurement tool en.html.
  7. Multimedia Signal Processing Group. VQMT: Video Quality Measurement Tool. [Online] Cited 2013-10-02. Available at: http://mmspg.epfl.ch/vqmt.
  8. RIMAC-DRLJE, S., VRANJES, M., ZAGAR, D. Influence of temporal pooling method on the objective video quality evaluation. In IEEE International Symposium on Broadband Multimedia Systems and Broadcasting. Bilbao (Spain), 2009, p. 1 - 5.
  9. SEUFERT, M., SLANINA, M., EGGER, S., KOTTKAMP, M. “To pool or not to pool”: A comparison of temporal pooling methods for HTTP adaptive video streaming. In Fifth International Workshop on Quality of Multimedia Experience (QoMEX). Klagenfurt (Austria), 2013, p. 52 - 57.
  10. MARZILIANO, P., DUFAUX, F., WINKLER, S., EBRAHIMI, T. A no-reference perceptual blur metric. In International Conference on Image Processing. Rochester (NY, USA), 2002, vol. 3, p. 57 - 60.
  11. WU, H.R.,YUEN. M. A generalized block-edge impairment metric for video coding. IEEE Signal Processing Letters, 1997, vol. 4, no. 11, p. 317 - 320.
  12. WINKLER, S. Perceptual video quality metrics – a review. Digital Video Image Quality and Perceptual Coding. Boca Raton (FL, USA): Taylor & Francis, 2006.
  13. ICHIGAYA, A., KOROZUMI, M., HARA, N., NISHIDA, Y., NAKASU, E. A method of estimating coding PSNR using quantized DCT coefficients. IEEE Transactions on Circuits and Systems for Video Technology, 2006, vol. 16, no. 2, p. 251 - 259.
  14. EDEN, A. no-reference estimation of the coding PSNR for H.264- coded sequences. IEEE Transactions on Consumer Electronics, 2007, vol. 53, no. 2, p. 667 - 674.
  15. SHIM, S.-Y., MOON, J.-H., HAN, J.-K. PSNR estimation scheme using coefficient distribution of frequency domain in H.264 decoder. Electronics Letters, 2008, vol. 44, no. 2, p. 108 - 109.
  16. SLANINA, M., ˇR ´I CˇNY´ , V. Estimating PSNR in high definition H.264/ AVC video sequences using artificial neural networks. Radioengineering, 2008, vol. 17, no. 3, p. 103 - 108.
  17. KIM, Y.-H., SHIN, J., KIM, H. Lightweight quality metric based on no-reference bitstream for H.264/AVC video. KSII Transactions on Internet and Information Systems, 2012, vol. 6, no. 5.
  18. SESHADRINATHAN, K., BOVIK, A. C. Motion tuned spatiotemporal quality assessment of natural videos. IEEE Transactions on Image Processing, 2010, vol. 19, no. 2, p. 335 - 350.
  19. BRANDA˜ O, T., ROQUE, L., QUELUZ, M. P. Quality assessment of H.264/AVC encoded video. In Proceedings of Conference on Telecommunications (ConfTele). Santa Maria da Feira (Portugal), 2009.
  20. SESHADRINATHAN, K., SOUNDARARAJAN, R., BOVIK, A. C., CORMACK, L. K. Study of subjective and objective quality assessment of video. IEEE Transactions on Image Processing, 2010, vol. 19, no. 6, p. 1427 - 1441.

Keywords: Quality assessment, GBIM, PSNR, MOS, image quality, H.264/AVC, quality metric

L. Azpilicueta, F. Falcone, J.J. Astrain, J. Villadangos, A. Chertudi, I. Angulo, A. Perallos, P. Elejoste, I. J. Garcia Zuazola [references] [full-text] [Download Citations]
Analysis of Topological Impact on Wireless Channel Performance on Intelligent Street Lighting System

In this work, an analysis of the physical radio channel propagation for the deployment of a wireless sensor network for intelligent street lighting is presented based on an in house implemented 3D ray launching code. Simulation as well as measurement results from a deployed wireless sensor network for an intelligent street light control system confirm the topological and morphological dependence of the considered scenario. The results can be applied to the optimal radioplanning of the wireless systems prior to deployment phase, in order to achieve maximum system performance while minimizing power consumption.

  1. CAPONETTO, R., DONGOLA, G., FORTUNA, L., RISCICA, N., ZUFACCHI, D. Power consumption reduction in a remote controlled street lighting system. In Power Electronics, International Symposium on Electrical Drives, Automation and Motion, SPEEDAM 2008, p. 428-433.
  2. CHEN, Y., LIU, Z. Distributed intelligent city street lamp monitoring and control system based on wireless communication chip nRF401. In International Conference on Networks Security, Wireless Communications and Trusted Computing, NSWCTC'09. 2009, vol. 2, p. 278-281.
  3. JIANYI, L., XIULONG, J., QIANJIE, M. Wireless monitoring system of street lamps based on ZigBee. In 5th International Conference on Wireless Communications, Networking and Mobile Computing, WiCom'09. 2009, p. 1-3.
  4. LIU, D., QI, S., LIU, T., YU, S.-Z., SUN, F. The design and realization of communication technology for street lamps control system. In 4th International Conference on Computer Science & Education, ICCSE'09. 2009, p. 259-262.
  5. JUN LIU, CANGXU FENG, XUESONG SUOM, AIJUN YUN. Street lamp control system based on power carrier wave. In International Symposium on Intelligent Information Technology Application Workshops (IITAW). Shanghai (China), 21-22 Dec. 2008, p. 184-188.
  6. KAPGATE, D. Wireless Streetlight Control System. International Journal of Computer Applications, 2012, vol. 41, no. 2, p. 1-7.
  7. MOHAMADDOUST, R., HAGHIGHAT, A. T., MOTAHARI SHARIF, M. J., CAPANNI, N. A novel design of an automatic lighting control system for a wireless sensor network with increased sensor lifetime and reduced sensor numbers. Sensors, 2011, vol. 11, no. 9, p. 8933-8952.
  8. NIU, M.-H., QIN, H.-B. Design of LED street lamps intelligent control system based on PIC MCU. In International Conference on Image Analysis and Signal Processing (IASP). 2012, p. 1-4.
  9. ATÌCÌ, C., OZÇELEBI, T., LUKKIEN, J. J. Exploring usercentered intelligent road lighting design: A road map and future research directions. IEEE Transactions on Consumer Electronics, May 2011, vol. 57, no. 2, p. 788-793.
  10. YANG LIU, XIANG FENG CHEN. Design of traffic lights controlling system based on PLC and configuration technology. In International Conference on Multimedia Information Networking and Security. Hubei (China), 18-20 Nov. 2009, p. 561-563.
  11. LEE, J. D., NAM, K. Y., JEONG, S. H., CHOI, S. B., RYOO, H. S., KIM, D. K. Development of ZigBee based street light control system. In IEEE Power Systems Conference and Exposition, 2006, p. 2236-2240.
  12. MENDALKA, M., GADAJ, M., KULAS, L., NYKA, K. WSN for intelligent street lighting system. In IEEE 2nd International Conference on Information Technology (ICIT). 2010, p. 99-100.
  13. LECCESE, F., X LEONOWICZ, Z. Intelligent wireless street lighting system. In IEEE 11th International Conference on Environment and Electrical Engineering (EEEIC). 2012, p. 958-961.
  14. Intelligent Road and Street Lighting in Europe (E-STREET). [Online] Available at: http: //eaciprojects.eu/iee/page/Page.jsp?op=project_detail&prid=1565
  15. IllumiWave Smart Lighting Energy Management Solutions. [Online] Available at: http://www.petrasolar.com/ products/illumiwave-smart-lighting-energy-management solutions.
  16. Street Light Control (SLC). [Online] Available at: http://www.osram.com/media/resource/HIRES/341262/6195320/st reet-light-control-innovative-light-control.pdf
  17. Iluminacion Inteligente LUIX. [Online] Available at: http://www.acr.es/iluminacion-led-inteligente/productos.aspx
  18. DE DOMINICS, C. M., FLAMMINI, A., SISINNI, E., FASANOTTI, L., FLOREANI. F. On the development of a wireless self localizing streetlight monitoring system. In IEEE Sensors Applications Symposium (SAS). 2011, p. 233-238.
  19. DAZA, D., CARVAJAL, R. G., MISÎC, J., GUERRERO, A. Street Lighting Network formation mechanism based on IEEE 802.15.4. In IEEE 8th International Conference on Mobile Adhoc and Sensor Systems (MASS). 2011, p. 164-166.
  20. JING, C., SHU, D., GU, D. Design of streetlight monitoring and control system based on wireless sensor networks. In 2nd IEEE Conference on Industrial Electronics and Applications, ICIEA 2007. 2007, p. 57-62.
  21. HERNANDO, J. M. Transmision por radio. Universitaria Ramon Areces. Madrid. Spain. 5th Edition. 2008. (in Spanish)
  22. WANG, Y., LU, W. J., ZHU, H. B. An empirical path-loss model for wireless channels in indoor short-range office environment. International Journal of Antennas and Propagation, 2012. Article ID 636349. 7 pages.
  23. GAUGUE, A., LIÈBE, C., COMBEAU, P. et al. Ultra-wideband indoor channel modeling using ray-tracing software for throughthe- wall imaging radar. International Journal of Antennas and Propagation, 2010. Article ID 934602. 14 pages.
  24. TUMER, A. E., GUNDUZ, M. Energy-efficient and fast data gathering protocols for indoor wireless sensor networks. Sensors. 2010, vol. 10, no. 9, p. 8054-8069.
  25. ISKANDER, M. F., YUN, Z. Propagation prediction models for wireless communication systems. IEEE Transactions on Microwave Theory and Techniques, 2002, vol. 50, no. 3, p. 662-673.
  26. REZA, A. W., SARKER, M. S., DIMYATI, K. A novel integrated mathematical approach of ray-tracing and genetic algorithm for optimizing indoor wireless coverage. Progress in Electromagnetic Research, 2010, vol. 110, p. 147-162.
  27. LOPEZ ITURRI, P., NAZABAL, J. A., AZPILICUETA, L., RODRIGUEZ, P., BERUETE, M., FERNANDEZ-VALDIVIELSO, C., FALCONE, F. Impact of high power interference sources in planning and deployment of wireless sensor networks and devices in the 2.4GHz frequency band in heterogeneous environments. Sensors, 2012, vol. 12, no. 11, p. 15689-15708.
  28. AGUIRRE, E., ARPON, J., AZPILICUETA, L., DE MIGUEL, S., RAMOS, V., FALCONE, F. Evaluation of electromagnetic dosimetry of wireless systems in complex indoor scenarios within body human interaction. Progress in Electromagnetics Research B, vol. 43, p. 189-209.
  29. AZPILICUETA, L., FALCONE, F., ASTRAIN, J. J., VILLADANGOS, J., GARCIA ZUAZOLA, I. J., LANDALUCE, H., ANGULO, I., PERALLOS, A. Measurement and modeling of a UHF-RFID system in a metallic closed vehicle. Microwave and Optical Technology Letters, 2012, vol. 54, no. 9, p. 2126-2130.
  30. MORENO, A., ANGULO, I., PERALLOS, A., LANDALUCE, H., ZUAZOLA, I. J. G., AZPILICUETA, L., ASTRAIN, J. J., FALCONE, F., VILLADANGOS, J. IVAN: Intelligent van for the distribution of pharmaceutical drugs. Sensors, 2012, vol. 12, p. 6587- 6609.
  31. NAZABAL, J. A., ITURRI LOPEZ, P., AZPILICUETA, L., FALCONE, F., FERNANDEZ-VALDIVIELSO, C. Performance analysis of IEEE 802.15.4 compliant wireless devices for heterogeneous indoor home automation environments. International Journal of Antennas and Propagation, Article ID 176383, Hindawi Publishing Corporation.
  32. LED, S., AZPILICUETA, L., AGUIRRE, E., MARTINEZ DE ESPRONCEDA, M., SERRANO, L., FALCONE, F. Analysis and description of HOLTIN service provision for AECG monitoring in complex indoor environments. Sensors, 2013, vol. 13, no. 4, p. 4947-4960.
  33. HRISTOV, H. D. Fresnel Zones in Wireless Links, Zone Plate Lenses and Antennas. Artech House, 2000.
  34. TAYLOR, C., GUTIERREZ, S., LANGDON, S., MURPHY, K., WALTON, W. I. Measurement of RF propagation into concrete structures over the frequency range 100 MHZ to 3 GHz. In Wireless Personal Communications (eds. Reed, J. H Rappaport, T. S., Woerner, B. D.), vol. 377, p. 131-144. Springer US, 1997.
  35. BALANIS, C. A. Advanced Engineering Electromagnetics. Vol. 205. New York: Wiley, 1989.
  36. [Online] http://www.libelium.com/products/wapsmote.

Keywords: 3D ray launching, wireless sensor network, intelligent street lighting, channel performance

X. Shen, J. Huang, P. Liu, Y. Pan, B. Xu, Z. Rao [references] [full-text] [Download Citations]
Analysis of Collaborative Beamforming for Wireless Sensor Networks with Phase Offset

Collaborative beamforming has been widely used in wireless sensor networks to improve the directivity of signals in long-distance transmission. The performance of collaborative beamforming has been well analyzed for the case without phase offset in the literature. However, the phase ambiguity caused by carrier phase jitter or offset between the transmitter and receiver nodes always exists in a practical system. Although the effects of imperfect phase have been studied for Uniform node distribution and Tikhonov phase noise model, the performance analysis of collaborative beamforming with arbitrary node distributions and any phase offset which may have various probability density functions (PDFs) depending on phase-locked loop circuits is still an open issue. This paper proposes a unified method to evaluate the performance of collaborative beamforming in the case of phase noise. Since non-parametric kernel method is used to build the PDFs of node and phase offset, the proposed non-parametric approach can provide accurate performance analysis for various node and phase noise distributions which may or may not be represented by explicit PDF functions. Computer simulation is conducted to verify validity of the proposed method.

  1. AKYILDIZ, I. F., WEILIAN, SU, SANKARASUBRAMANIAM, Y., CAYIRCI, E. A survey on sensor networks. IEEE Commun. Mag., Aug. 2002, vol. 40, no. 8, p. 102–114.
  2. MUDUMBAI, R., BARRIAC, G., MADHOW, U. On the feasibility of distributed beamforming in wireless networks. IEEE Trans. Wireless Commun., May 2007, vol. 6, no. 5, p. 1754-1763.
  3. MAN-ON, PUN, BROWN, D. R., POOR, H. V. Opportunistic collaborative beamforming with one-bit feedback. IEEE Trans. Wireless Commun., May 2009, vol. 8, no. 5, p. 2629-2641.
  4. NOURI, N., NOORI, N. Directional relays for multi-hop cooperative cognitive radio networks. Radioengineering, Sep. 2013, vol. 22, no. 3, p. 791-799.
  5. PFEFFER, C., SCHEIBLHOFER, S., FEGER, R., STELZER, A. An S-FSCW based multi-channel reader system for beamforming applications using surface acoustic wave sensors. Radioengineering, Dec 2011, vol. 20, no. 4, p. 745-751.
  6. OCHIAI, H., MITRAN, P., POOR, H. V., TAROKH, V. Collaborative beamforming for distributed wireless ad hoc sensor networks. IEEE Trans. Signal Processing, Nov. 2005, vol. 53, no. 11, p. 4110-4124.
  7. LO, Y. T. A mathematical theory of antenna arrays with randomly spaced elements. IEEE Trans. Antennas Propag., May 1964, vol. 12, no. 3, p. 257-268.
  8. AHMED, M. F. A., VOROBYOV, S. A. Collaborative beamforming for wireless sensor networks with Gaussian distributed sensor nodes. IEEE Trans. Wireless Commun., Feb. 2009, vol. 8, no. 2, p. 638-643.
  9. HUANG, J. Y., WANG P., WAN, Q. Collaborative beamforming for wireless sensor networks with arbitrary distributed sensors. IEEE Communications Letters, July 2012, vol. 16, no. 7, p. 1118 to 1120.
  10. PLATANIOTIS, K. N., ANDROUTSOS, D., VINAYAGAMOORTHY, S., VENETSANOPOULOS, A. N. Color image processing using adaptive multichannel filters. IEEE Trans. Image Processing, July 1997, vol. 6, no. 7. p. 933–949.
  11. ELGAMMAL, A., DURAISWAMI, R., HARWOOD, D., DAVIS, L. S. Background and foreground modeling using nonparametric kernel density estimation for visual surveillance. Proceedings of the IEEE, Jul. 2002, vol. 90, no. 7, p. 1151-1163.
  12. WANG, D. M., CHENG, Y., WANG, Y., AGRAWAL, D. P. Lifetime enhancement of wireless sensor networks by differentiable node density deployment. In IEEE International Conference on Mobile Adhoc and Sensor Systems (MASS). 2006, p. 546-549.
  13. HAN, T. S., HOSHI, M. Interval algorithm for random number generation. IEEE Trans. Inf. Theory, 1997, vol. 43, no. 2, p. 599– 611.
  14. KNUTH, D., YAO, A. The complexity of nonuniform random number generation. In Algorithms Complex.: New Directions and Recent Results, 1976, p. 357–428.
  15. DE LA ROSA, J. I., FLEURY, G. A., DAVOUST, M. E. Minimum-entropy, PDF approximation, and kernel selection for measurement estimation. IEEE Trans. Instrumentation and Measurement, Aug. 2003, vol. 52, no. 4, p. 1009-1020.

Keywords: Collaborative beamforming, wireless sensor networks, kernel method, node distributions, phase offset, performance analysis

Y. Eghbali, H. Hassani, A. Koohian, M. Ahmadian-Attari [references] [full-text] [Download Citations]
Improved Energy Detector for Wideband Spectrum Sensing in Cognitive Radio Networks

In this paper, an improved energy detector for a wideband spectrum sensing is proposed. For a better detection of the spectrum holes the overall band is divided into equal non-overlapping sub-bands. The main objective is to determine the detection thresholds for each of these subbands jointly. By defining the problem as an optimization problem, we aim to find the maximum aggregated opportunistic throughput of cognitive radio networks. Introducing practical constraints to this optimization problem will change the problem into a convex and solvable one. The results of this paper show that the proposed improved energy detector will increase the aggregated throughput considerably.

  1. SAHAI, A., CARBIC, D. A tutorial on spectrum sensing: Fundamental limits and practical challenges. In IEEE Symposium on New Frontiers in Dynamic Spectrum Access Networks (DySPAN). Baltimore (USA), 2005.
  2. QUAN, Z., CUI, S., SAYED, A. H., POOR, H. V. Optimal multiband joint detection for spectrum sensing in cognitive radio networks. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 3, p. 1128 - 1140.
  3. URKOWITZ, H. Energy detection of unknown deterministic signals. Proceedings of the IEEE, 1967, vol. 55, no. 4, p. 523 - 531.
  4. GHOZZI, M., DOHLER, M., MARX, F., PALICO, J. Cognitive radios: methods for detection of free bands. Elsevier Science Journal, 2006, vol. 7, no. 7., p. 794 - 805.
  5. CARBIC, D., MISHRA, S., BRODERSEN, R. Implementation issues in spectrum sensing for cognitive radios. In Asilomar Conference on Signals, Systems and Computers. 2004, p. 772 - 776.
  6. TANG, H. Some physical layer issues of wide-band cognitive radio systems. In IEEE International Symposium on New Frontiers in Dynamic Spectrum Access Networks (DySPAN). Baltimore (USA), 2005, p. 151 - 159.
  7. CHEN, Y. Improved energy detector for random signals in Gaussian noise. IEEE Transactions on Wireless Communications, 2010, vol. 9, no. 2, p. 558 - 563.
  8. GOLDSMITH, A. Wireless Communications. Cambridge (UK): Cambridge University Press, 2006.
  9. PAPOULIS, A. Probability, Random Variables, and Stocastic Processes. New York (USA): Mc Graw-Hill, 1965.
  10. KAY, S. M. Fundamentals of Statistical Signal Processing: Detection Theory. Prentice Hall, 1998.

Keywords: Cognitive radio, improved energy detector, joint detection, spectrum sensing

G. Wang, D. Guo, A. Liu, B. Zhang [references] [full-text] [Download Citations]
Multiuser Cooperation with Hybrid Network Coding in Wireless Networks

In this paper a hybrid Network Coding Cooperation (hybrid-NCC) system is proposed to achieve both reliable transmission and high throughput in wireless networks. To balance the transmission reliability with throughput, the users are divided into cooperative sub-networks based on the geographical information, and the cooperation is implemented in each sub-network. After receiving signals from the cooperative partners, each user encodes them by exploiting hybrid network coding and then forwards the recoded symbols via the Link-Adaptive Regenerative (LAR) relaying. First, the Diversity-Multiplexing Tradeoff (DMT) is analyzed to demonstrate that the proposed system is bandwidth-efficient. Second, the Symbol Error Probability (SEP) is also derived, which shows that the proposed system achieves a higher reliability as compared to the traditional Complex Field Network Coding Cooperation (CFNCC). Moreover, because dedicated relays are not required, our proposed system can both reduce the costs and enhance the flexibility of the implementation. Finally, the analytical results are supported and validated by numerical simulations.

  1. DING, G., WU, Q., YAO, Y., WANG, J., CHEN, Y. Kernel-based learning for statistical signal processing in cognitive radio networks: Theoretical foundations, example applications, and future directions. IEEE Signal Processing Magazine, 2012, vol. 30, no.4, p. 126 - 136.
  2. AHLSWEDE, R., CAI, N., LI, S. Y., YEUNG, R. Network information flow. IEEE Transactions on Information Theory, 2000, vol. 46, no. 4, p. 1204 - 1216.
  3. CHEN, Y., KISHORE, S., LI, J. Wireless diversity through network coding. In Proceedings of IEEE Wireless Communications and Networking Conference. Las Vegas (USA), 2006, p. 1681 to 1684.
  4. KATTI, S., RAHUL, H., HU, W., KATABI, D., MEDARD, M., CROWCROFT, J. XORs in the air: practical wireless network coding. IEEE/ACM Transactions on Networking, 2008, vol. 16, no. 3, p. 497 - 510.
  5. ZHAN, A., HE, C., JIANG, L. G. Outage behavior in wireless networks with analog network coding. IEEE Transactions on Vehicular Technology, 2012, vol. 61, no. 7, p. 3352 - 3360.
  6. PENG, C., ZHANG, Q., ZHAO, M., YAO, Y., JIA, W. On the performance analysis of network-coded cooperation in wireless networks. IEEE Transactions on Wireless Communications, 2008, vol. 7, no. 8, p. 3090 - 3097.
  7. WANG, T., GIANNAKIS, G. B. Complex field network coding for multiuser cooperative communications. IEEE Journal on Selected Areas in Communications, 2008, vol. 26, no. 3, p. 561 - 571.
  8. LI, G., CANO, A., VILARDEBO, J. G., GIANNAKIS, G. B., PEREZ-NEIRA, A. I. High-throughput multi-source cooperation via complex-field network coding. IEEE Transactions on Wireless Communications, 2011, vol. 10, no. 5, p. 1606 - 1617.
  9. WANG, J., LIU, X., CHI, K., ZHAO, X. Complex field networkcoded cooperation based on multi-user detection in wireless networks. Journal of Systems Engineering and Electronics, 2013, vol. 24, no. 2, p. 215 - 221.
  10. NOSRATINIA, A., HUNTER, T. E. Grouping and partner selection in cooperative wireless networks. IEEE Journal on Selected Areas in Communications, 2007, vol. 25, no. 2, p. 369 - 378.
  11. WANG, T., GIANNAKIS, G. B., WANG, R. Smart regenerative relays for link-adaptive cooperative communications. IEEE Transactions on Communications, 2008, vol. 56, no. 11, p. 1950 - 1960.
  12. GIANNAKIS, G. B., LIU, Z., MA, X., ZHOU, S. Space-time Coding for Broadband Wireless Communications. John Wiley & Sons, Inc., 2007.
  13. ELIA, P., KUMAR, K. R., PAWAR, S. A., KUMAR, P. V., LU, H. F. Explicit space-time codes achieving the diversitymultiplexing gain tradeoff. IEEE Transactions on Information Theory, 2006, vol. 52, no. 9, p. 3869 - 3884.
  14. ZHENG, L., TSE, D. N. C. Diversity and multiplexing: A fundamental tradeoff in multiple antenna channels. IEEE Transactions on Information Theory, 2003, vol. 49, no. 5, p. 1073 - 1096.
  15. ZOU, Y., YAO, Y. D., ZHENG, B. Opportunistic distributed space-time coding for decode-and-forward cooperation systems. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 4, p. 1766 - 1781.
  16. LANEMAN, J., WORNELL, G. Distributed space-time-coded protocols for exploiting cooperative diversity in wireless networks. IEEE Transactions on Information Theory, 2003, vol. 49, no. 10, p. 2415 - 2425.
  17. BLETSAS, A., KHISTI, A., REED, D., LIPPMAN, A. A simple cooperative diversity method based on network path selection. IEEE Journal on Selected Areas in Communications, 2006, vol. 24, no. 3, p. 659 - 672.
  18. WANG, T., CANO, A., GIANNAKIS, G. B., LANEMAN, J. N. High-performance cooperative demodulation with decode-andforward relays. IEEE Transactions on Communications, 2007, vol. 55, no. 7, p. 1427 - 1438.
  19. XIN, Y., WANG, Z., GIANNAKIS, G. B. Space-time diversity systems based on linear constellation precoding. IEEE Transactions on Wireless Communications, 2003, vol. 2, no. 2, p. 294 to 309.

Keywords: Cooperative communication, complex field network coding, diversity-multiplexing tradeoff, wireless communication.

J. Milos, S. Hanus [references] [full-text] [Download Citations]
Performance Analysis of PCFICH and PDCCH LTE Control Channels

Control channels play a key role in the evaluation of mobile system performance. The purpose of our paper is to evaluate the performance of the control channels implementation in the Long Term Evolution (LTE) system. The paper deals with the simulation of the complete signal processing chain for Physical Control Format Indicator Channel (PCFICH) and Physical Downlink Control Channel (PDCCH) in the LTE system, Release 8. We implemented a complete signal processing chain for downlink control channels as an extension of the existing MATLAB LTE downlink simulator. The paper presents results of PCFICH and PDCCH control channel computer performance analysis in various channel conditions. The results can be compared with the performance of data channels.

  1. Evolved Universal Terrestrial Radio Access (E-UTRA); Physical Layer Procedures, tech. rep. TS 36.213, version 8.8.0. 3rd Generation Partnership Project (3GPP), 2009.
  2. Technical Specification Group Radio Access Network; Requirements for Evolved UTRA (E-UTRA) and Evolved UTRAN (E-UTRAN) Release 8, tech. rep. TR 25.913, version 8.0.0. 3rd Generation Partnership Project (3GPP), 2009.
  3. Evolved Universal Terrestrial Radio Access (E-UTRA); Physical Channels and Modulation, tech. rep. TS 36.211, version 8.9.0. 3rd Generation Partnership Project (3GPP), 2009.
  4. Evolved Universal Terrestrial Radio Access (E-UTRA); Physical Channels and Modulation, tech. rep. TS 36.212, version 8.8.0. 3rd Generation Partnership Project (3GPP), 2009.
  5. HOLMA, H., TOSKALA, A. LTE for UMTS: OFDMA and SCFDMA Based Radio Access. 1st ed. New York (USA): Wiley, 2009.
  6. Evolved Universal Terrestrial Radio Access (E-UTRA); LTE Physical Layer – General Description, tech. rep. TS 36.201 version 8.3.0. 3rd Generation Partnership Project (3GPP), 2009.
  7. MEHLFU¨ HRER, C., WRULICH, M., IKUNO, J. C., BOSANSKA, D., RUPP, M. Simulating the long term evolution physical layer. In Proceedings of the 17th European Signal Processing Conference (EUSIPCO). Glasgow (Scotland), 2009. [Online] Available at: http://publik.tuwien.ac.at/files/PubDat 175708.pdf
  8. THIRUVENGADAM, S. J., JALLOUL, L. M. A. Performance analysis of the 3GPP-LTE physical control channels. EURASIP Journal on Wireless Communications and Networking, 2010, Article ID 914934.
  9. LIU, J., LOVE, R., STEWART, K., BUCKLEY, M. E. Design and analysis of LTE physical downlink control channel. In IEEE 69th Vehicular Technology Conference (VTC Spring 2009). Barcelona (Spain), 2009, p. 1 - 5.
  10. LTE Downlink Link Level Simulator. [Online] Available at: http://www.nt.tuwien.ac.at/ltesimulator/
  11. IL GYU, K., HAN, Y., KIM, Y. H., BANG, S. C. Transmit diversity and multiplexing methods for 3G-LTE downlink control channels. In IEEE 64th Vehicular Technology Conference (VTC Fall 2006). Montreal (Canada), 2006, p. 1 - 4.
  12. CHI, C., KUO, C. Quadratic permutation polynomial interleaver for LTE turbo coding. In International Conference on Information Security and Intelligence Control (ISIC). Yunlin (Taiwan), 2012, p. 313 - 316.
  13. PESAVENTO, M., MULDER, W. LTE tutorial, part 1: LTE basics. In Proceedings of the Femto Forum Plenary. Reading (UK), 2010.
  14. LOVE, R., KUCHIBHOTLA, R., GHOSH, A., RATASUK, R., BLANKENSHIP, Y. Downlink control channel design for 3GPP LTE. In The Wireless Communications and Networking Conference (WCNC). Las Vegas (NV, USA), 2008, p. 813 - 818.
  15. HOSEIN, P. Resource allocation for the LTE physical downlink control channel. In IEEE GLOBECOMWorkshops. Honolulu (HI, USA), 2009, p. 1 - 5.
  16. Selection Procedures for the Choice of Radio Transmission Technologies of the UMTS, tech. rep. 101 112, version 3.1.0. ETSI, 1997.

Keywords: Long Term Evolution, Release 8, control channels, Physical Control Format Indicator Channel, Physical Downlink Control Channel, MATLAB, link level simulator

R. Paudel, J. Poliak, Z. Ghassemlooy, O. Wilfert, E. Leitgeb [references] [full-text] [Download Citations]
Curved Track Analysis of FSO Link for Ground-to-Train Communications

In this work, a free space optical (FSO) link for the ground-to-train (G2T-FSO) communications is proposed. Analytical analysis is carried out for the curved rail tracks. We show that the transmitter divergence angle, the transmit power and the size of the concentration lens need to increase for the curved section of the rail track compared to the straight track. We derive the analytical expression for the received power level based on the link geometry for the case of the curved track In the worst case scenario when the curvature radius is 120 m, the transmit power at the optical base station (BS) needs to increase by over 2 dB when the concentration lens radius is increased by 5 times. Analyses also show that the received power along the track increases with the curvature radius for the same transmit power and receiver optics illustrating the effect due to link geometry. Additionally, the signal-to-noise ratio (SNR) and the bit error rate (BER) performance of the system for the curved track with different curvature radii is analysed at data rates of 10 Mbps and 100 Mbps for an additive white Gaussian noise (AWGN) channel showing a good agreement between the theoretical and the simulated BER. Finally, effect of scintillations on the G2T-FSO link performance is discussed.

  1. ELGALA, H., MESLEH, R., HAAS, H. Indoor optical wireless communication: potential and state-of-the-art. IEEE Communications Magazine, 2011, vol. 49, p. 56 - 62.
  2. DAYONG, Z., LOPRESTI, P. G., REFAI, H. H. Enlargement of beam coverage in FSO mobile network. Journal of Lightwave Technology, 2011, vol. 29, p. 1583 - 1589.
  3. HENNIGER, H., WILFERT, O. An introduction to free-space optical communications. Radioengineering, 2010, vol. 19, no. 2, p. 203 - 212.
  4. GHASSEMLOOY, Z., POPOOLA, W., RAJBHANDARI, S. Optical Wireless Communications: System and Channel Modelling with MATLAB. 1st ed. Florida (USA): CRC Press, 2013.
  5. CVIJETIC, N., DAYOU, Q., JIANJUN, Y., YUE-KAI, H., TING,W. 100 Gb/s per-channel free-space optical transmission with coherent detection and MIMO processing. In Proceedings of the 35th European Conference on Optical Communication. 2009, p. 1 - 2.
  6. LE MINH, H., O’BRIEN, D., FAULKNER, G., BOUCHET, O., WOLF, M., GROBE, L., LI, J. A 1.25-Gb/s indoor cellular optical wireless communications demonstrator. In Proceedings of the IEEE Avionics, Fiber-Optics and Photonics Technology Conference. 2008, p. 37 - 38.
  7. XIAOMIN, J., XIAN, W., CHI YEH, H. Design and implementation of mobile free space optical communication system. IEEE Photonics Technology Letters, 2010, vol. 22, p. 1598 - 1600.
  8. AHMADI, S., J., XIAN, W., CHI YEH, H. Mobile WiMAX: A Systems Approach to Understanding IEEE 802.16m Radio Access Technology. Boston: Elsevier, 2011.
  9. YEH, C.-H., CHOW, C.-W., LIU, Y.-L., WEN, S.-K., CHEN, S.-Y., SHEU, C.-R et al. Theory and technology for standardWiMAX over fiber in high speed train systems. Journal of Lightwave Technology 2010, vol. 28, p. 2327 - 2336.
  10. LANOO, B., COLLE, D., PICKAVET, M., DEMEESTER, M. Radio-over-fiber-based solution to provide broadband Internet access to train passengers [Topics in Optical Communications]. IEEE Communications Magazine 2007, vol. 45, no. 2, p. 56 - 62.
  11. LUO, Q., FANG, W., WU, J., CHEN, Q. Reliable broadband wireless communication for high speed trains using baseband cloud. EURASIP Journal on Wireless Communications and Networking, 2012, vol. 2012, p. 1 - 12.
  12. PAUDEL, R., GHASSEMLOOY, Z., LE MINH, H., RAJBHANDARI, S., LIVINGSTONE, B. Investigation of FSO ground-to-train communications in the laboratory environment. In Proceedings of the 2nd Asian Himalayas International Conference on Internet. 2011.
  13. HARUYAMA, S., URABE, H., SHOGENJI, T., ISHIKAWA, S., HIRUTA, M., TERAOKA, F., et al. New ground-to-train high-speed free-space optical communication system with fast handover mechanism. In Optical Fiber Communication Conference and Exposition (OFC/NFOEC) and the National Fiber Optic Engineers Conference. 2011.
  14. PAUDEL, R., GHASSEMLOOY, Z., LE MINH, H., RAJBHANDARI, S., LEITGEB, E. Lambertian source modelling of free space optical ground-to-train communications. In 8th International Symposium on Communication Systems, Networks and Digital Signal Processing. Poznan (Poland), 2012.
  15. O’BRIEN, D. C., KATZ, M., WANG, P., KALLIOJARVI, K., ARNON, S., ISRAEL, N., et al. Short-range optical wireless communications. In Wireless World Research Forum. 2005.
  16. DENG, P., YUAN, X., KAVEHRAD, M., ZHAO, M., YENG, Y. Offaxis catadioptric fisheye wide fieldof-view optical receiver for free space optical communications. Optical Engineering, 2012, vol. 51, p. 1 - 11.
  17. LE-MINH, H., O’BRIEN, D., FAULKNER, G., ZENG, L., LEE, K., JUNG, D., et al. 100-Mb/s NRZ visible light communications using a postequalized white LED. IEEE Photonics Technology Letters, 2009, vol. 21, p. 1063 - 1065.
  18. AGRAWAL, G. P. Fiber-Optic Communication Systems. 3rd ed. New York (USA): Wiley & Sons, 2002.
  19. KOLKA, Z., BIOLKOVA, V., BIOLEK, D. Simulation of FSO transmission channel. In Proceedings of the 7th WSEAS International Conference on Circuits, Systems, Electronics, Control and Signal Processing. 2008.
  20. PAUDEL, R., GHASSEMLOOY, Z., LE MINH, H., RAJBHANDARI, S. Modelling of free space optical link for ground-to-train communications using a Gaussian source. IET Optoelectronics, 2013, vol. 7, p. 1 - 8.
  21. TSUKAMOTO, K., HASHIMOTO, A., ABURAKAWA, Y., MATSUMOTO M. The case for free space. IEEE Microwave Magazine, 2009, vol. 10, p. 84 - 92.
  22. BOUCHET, O., MARQUIS, T., CHABANE, M., ALNABOULSI, M., SIZUN, H. FSO and quality of service software prediction. In Proceedings of the SPIE, 2008, vol. 5892, p. 28 - 39.

Keywords: Free space optical, ground-to-train, base station, link geometry.

J. Nemecek, J. Cizmar [references] [full-text] [Download Citations]
Calculation of Angular Deflection Limits of a Mobile Free-Space Optical Link Beam

The paper describes the effect of optical beam angular deflection on the power received by the receiver of a mobile free-space optical (FSO) link. Permissible fluctuations in the power received were studied on a steady model of the FSO link. It was assumed that these fluctuations were caused by oscillations of the optical beam across the receiver aperture. The formula for beam angular deflection limit was derived for two different types of optical intensity profile. The task was solved for two different types of atmosphere. The first type of atmosphere was considered a homogeneous and lossless environment. In the second type, atmospheric radiation attenuation was included in the calculations. Also, this article includes graphs of dependencies of the angular deflection limits upon the distance between the link stations.

  1. WILFERT, O., HENNIGER, H. An introduction to free-space optical communications. Radioengineering, June 2010, vol. 19, no. 2, p. 203 – 212.
  2. CIZMAR, J., NEMECEK, J. Requirements for control system of mobile free space optical link. Advances in Military Technology, 2012, vol. 7, no. 1, p. 69 – 79.
  3. NEMECEK, J. Chosen parameters of the statistical model of free space optical link (in Czech). In Trendy rozvoja vzdusnych sil. Tatranske Zruby (Slovakia), 2004. 8 p.
  4. KOLKA, Z., WILFERT, O. Statistical model of free-space optical data link. In Proceedings of the International Symposium on Optical Science and Technology. Denver (USA), 2004, p. 203.
  5. NEMECEK, J., CIZMAR, J. Control system for positioning of a transmitter optical beam and a receiver field of view for a mobile free space optical link. In Proceedings of 5th International Symposium Advances in Mechatronics (AiM 2010). Trencin (Slovakia), 2010, p. 55 – 59.
  6. YUKSEL, M., AKELLA, J., KALYANARMAN, S., DUTTA, P. Free-space-optical mobile ad hoc network: Auto-configurable building blocks. Wireless Networks. April 2009, vol. 15, no. 3, p. 295 – 312.
  7. BARCIK, P., HUDCOVA, L., WILFERT, O., ARCE-DIEGO, J., FANJUL-VELEZ, F., SALAS-GARCIA, I., ORTEGA-QUIJANO, N. Comparison and evaluation of the laser beam - shaping techniques. In SPIE Proceedings Vol. 8874 Laser Communication and Propagation through the Atmosphere and Oceans II. San Diego, 2013. 7 p.
  8. FARID, A. A., HRANILOVIC, S. Outage capacity optimization for free-space optical links with pointing errors. Journal of Lightwave Technology, 2007, vol. 25, no. 7, p. 1702 – 1710.
  9. POLIAK, J., PEZZEI, P., LEITGEB, E., WILFERT, O. Link budget for high-speed short-distance wireless optical link. In Proceedings of the 2012 8th International Symposium on Communication Systems, Networks & Digital Signal Processing (CSNDSP). Poznan (Poland), 2012, p. 346-351.
  10. SMITH, F. G., ACCETTA, J. S., SHUMAKER, D. L. The Infrared & Electro-Optical Systems Handbook. Atmospheric Propagation of Radiation. Vol. 2. Bellingham: SPIE Press, 1993.
  11. KHAN, M. S., GRABNER, M., MUHAMMAD, S. S., AWAN, M. S., LEITGEB, E., KVICERA, V., NEBULONI, R. Empirical relations for optical attenuation prediction from liquid water content of fog. Radioengineering, September 2012, vol. 21, no. 3, p. 911 – 916.

Keywords: Free space optical link, steady model, angular deflection of the beam, power fluctuations, optical intensity profile, power budget

J. Cizmar, J. Nemecek [references] [full-text] [Download Citations]
Design and Modeling of the Properties of the Servomechanism for a Mobile Free Space Optical Link

The paper deals with the design of a tracking system determined for mobile free space optical link communication system. The paper also contains description of two-step method of the optical-axes pointing process, description of the basic properties of servomechanisms and results of the simple simulation of the tracking-system function model. The entire model consists of four partial models, i.e. of model of trajectory, model of uneven road surface, model of vehicle and model of horizontal and vertical servos. Results of these simulations will be used to give precision to the construction design of the mobile free space optical link station and its servomechanisms.

  1. WILFERT, O. Wireless Optical Links. Brno: Brno University of Technology, 2004, 93 p. (In Czech)
  2. HENNIGER, H., WILFERT, O. An introduction to free-space optical communication. Radioengineering, 2010, vol. 19, no. 2, p. 203 – 212.
  3. NEMECEK, J., CIZMAR, J. Control system for positioning of a transmitter optical beam and a receiver field of view for a mobile free space optical link. In Proceedings of 5th International Symposium Advances in Mechatronics (AiM 2010). Trencin (Slovakia), 2010, p. 55 – 59.
  4. CIZMAR, J., NEMECEK, J. Requirements for control system of mobile free space optical link. Advances in Military Technology, 2012, vol. 7, no. 1, p. 69 – 79.
  5. MAO, XIA, LIU, YAN. Optical axis stabilization of a two-axis platform. In WRI Global Congress on Intelligent Systems GCIS '09. Xiamen (People´s Republic of China), 2009, vol. 2, p. 274 to 278.
  6. FARID, A. A., HRANILOVIC, S. Outage capacity optimization for free-space optical links with pointing errors. Journal of Lightwave Technology. 2007, vol. 25, no. 7, p. 1702 – 1710. [Online] Cited 2009-03-26. Available at: http://www.ece.mcmaster.ca/~hranilovic/publications/articles/07/jl t06IEEEFinal.pdf.
  7. POLIAK, J., PEZZEI, P., LEITGEB, E., WILFERT, O. Analytical expression of FSO link misalignments considering Gaussian beam. In Proceedings of the 2013 18th European Conference on Network and Optical Communications & 2013 8th Conference on Optical Cabling and Infrastructure (NOC-OC&I). Graz (Austria), 2013, p. 99-103.
  8. TITTERTON, D. H., WESTON, J. L. Strapdown Inertial Navigation Technology. 2nd ed. Lexington (USA): MIT Lincoln Laboratory, 2004.
  9. JAKUBOV, O., KOVAR, P., KACMARIK, P., VEJRAZKA, F. The witch navigator - A low cost GNSS software receiver for advanced processing techniques. Radioengineering, 2010, vol. 19, no. 4, p. 536 – 543.
  10. JALOVECKY, R., CIZMAR, J. SKVAREK, An Inertial Reference Unit – development and testing. In 2nd International Scientific Conference on Special Technology 2008. Bratislava, (Slovakia), April 2008, vol. 2, p. 48-52.

Keywords: Free space optical link, communication, pointing, tracking, servomechanism, inertial reference unit, TV camera, modeling, simulation

M. Mudroch, S. Zvanovec [references] [full-text] [Download Citations]
Artificial Neural Network Utilization for FSO Link Performance Estimation

This paper describes FSO link performance prediction based on available meteorological data using different Artificial Neural Network (ANN) approaches. Several types of ANNs were compared and their performance were evaluated. The paper introduces an ANN application utilizing real delayed data. This approach has been validated to be more precise than common feed-forward neural networks.

  1. BOUCHET, O., SIZUN, H., BOISROBERT, C., DE FORNEL, F., FAVENNEC, P.-N. Free-Space Optics: Propagation and Communication. Wiley, 2006.
  2. KIM, I., McARTHUR, B., KOREVAAR, E. J. Comparison of laser beam propagation at 785 nm and 1550 nm in fog and haze for optical wireless communications. SPIE Proceedings, 2001, vol. 214, p. 26 - 37.
  3. NABOULSI, M. A., DE FORNEL, F., SIZUN, H. Fog attenuation prediction for optical and infrared waves. Optical Engineering, 2004, vol. 43, no. 2, p. 319 - 329.
  4. GRABNER, M., KVICERA, V. The wavelength dependent model of extinction in fog and haze for free space optical communication. Journal of Optics Express, 2012, vol. 19, p. 3379 - 3386.
  5. IJAZ, M., GHASSEMLOOY, Z., PEREZ, J., BRAZDA, V., FISER, O. Enhancing the atmospheric visibility and fog attenuation using a controlled FSO channel. IEEE Photonics Technology Letters, 2013, vol. 25, no. 13, p. 1262 - 1265.
  6. FRIEDLANDER, S. K., TOPPER, L. (Eds.) Turbulence: Classic Papers on Statistical Theory. Wiley-Interscience, 1961.
  7. ANDREWS, L. C., PHILLIPS, R. L. Laser Beam Propagation through Random Media. 2nd ed. SPIE, 2005.
  8. ZVANOVEC, S., PEREZ, J., GHASSEMLOOY, Z., RAJBHANDARI, S., LIBICH, J. Route diversity analyses for free-space optical wireless links within turbulent scenarios. Journal of Optics Express, 2013, vol. 21, p. 7641 - 7650.
  9. HORNIK, K., STINCHCOMBE., M. AND WHITE, H. “Multilayer feedforward networks are universal approximators,” Neural Networks, vol. 2, pp. 359–366, 1989.
  10. STINCHCOMBE, M. WHITE, H. Universal approximation using feedforward networks with non-sigmoid hidden layer activation functions. In International Joint Conference on Neural Networks (IJCNN). 1989, vol. 1, p. 613 - 617.
  11. MINSKY, M. L., PAPERT, S. A. Perceptrons. Cambridge (MA, USA): MIT Press, 1969.
  12. MUDROCH, M., LIBICH, J., ZVANOVEC, S., MAZANEK, M. FSO link performance modelling using artificial intelligence. In Proceedings of the 5th European Conference on Antennas and Propagation (EuCAP). Rome (Italy), 2011, p. 1715 - 1718.
  13. SIEGELMANN, H., HORNE, B., GILES, C. Computational capabilities of recurrent NARX neural networks. IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics, 1997, vol. 27, no. 2, p. 208 - 215.
  14. HAGAN, M., DEMUTH, H., BEALE, M. Neural Network Design. Boston (MA, USA): PWS Publishing, 1996.
  15. WADA, Y., KAWATO, M. Estimation of generalization capability by combination of new information criterion and cross validation. In International Joint Conference on Neural Networks. Seattle (USA), 1991. vol. 2, p. 1 - 6.
  16. BAUM, E., HAUSSLER, D. What size net gives valid generalization? Neural Computation, 1989, vol. 1, no. 1, p. 151 - 160.

Keywords: Artifficial neural networks, free-space optics, weather influence

M. I. Petković, G. T. Đorđević, D. N. Milić [references] [full-text] [Download Citations]
BER Performance of IM/DD FSO System with OOK using APD Receiver

In this paper, the performance of intensity-modulated with direct detection (IM/DD) free space optical (FSO) system using the on-off keying (OOK) and avalanche photodiode (APD) receiver is observed. The gamma-gamma model is used to describe the effect of atmospheric turbulence since it provides good agreement in the wide range of atmospheric conditions. In addition, the same FSO system with equal gain combining applied at the reception is analyzed. After theoretical derivation of the expression for the bit error rate (BER), the numerical integration with previously specified relative calculation error is performed. Numerical results are presented and confirmed by Monte Carlo simulations. The effects of the FSO link and receiver parameters on the BER performance are discussed. The results illustrate that the optimal APD gain in the minimum BER sense depends considerably on the link distance, atmospheric turbulence strength and receiver temperature. In addition, the value of this optimal gain is slightly different in the case of spatial diversity application compared with single channel reception.

  1. HENNIGER, H., WILFERT, O. An introduction to free-space optical communications. Radioengineering, 2010, vol. 19, no. 2, p. 203 - 212.
  2. ANDREWS, L. C., PHILIPS, R. N. Laser Beam Propagation through Random Media. 2nd ed. Bellingham (USA): Spie Press, 2005.
  3. GHASSEMLOOY, Z., POPOOLA, W., RAJBHANDARI, S. Optical Wireless Communications: System and Channel Modelling with MATLAB. Boca Raton (USA): CRC Press, 2013.
  4. AL-HABASH, M. A., ANDREWS, L. C., PHILIPS, R. L. Mathematical model for the irradiance probability density function of a laser beam propagating through turbulent media. Optical Engineering, 2001, vol. 40, no. 8, p. 1554 - 1562.
  5. TSIFTSIS, T. A. Performance of heterodyne wireless optical communication systems over gamma-gamma atmospheric turbulence channels. Electronic Letters, 2008, vol. 44, no. 5, p. 372 - 373.
  6. ANGUITA, J. A., DJORDJEVIC, I. B., NEIFEILD, M. A., VASIC, B. V. Shannon capacities and error-correction codes for optical atmospheric turbulent channels. Journal of Optical Networking, 2005, vol. 4, no. 9, p. 586 - 601.
  7. FARID, A. A., HRANILOVIC, S. Outage capacity optimization for free space optical links with pointing errors. IEEE/OSA Journal of Lightwave Technology, 2007, vol. 25, no. 7, p. 1702 – 1710.
  8. GAPPMAIR, W., HRANILOVIC, S., LEITGEB, E. Performance of PPM on terrestrial FSO links with turbulence and pointing errors. IEEE Communications Letters, 2005, vol. 14, no. 5, p. 468 - 470.
  9. PROKES, A. Modeling of atmospheric turbulence effect on terrestrial FSO link. Radioengineering, 2009, vol. 18, no. 1, p. 42 - 47.
  10. GAPPMAIR, W., HRANILOVIC, S., LEITGEB, E. OOK performance for terrestrial FSO links in turbulent atmosphere with pointing errors modelled by Hoyt distributions. IEEE Communications Letters, 2011, vol. 15, no. 8, p. 875 - 877.
  11. SANDALIDIS, H. G., TSIFTSIS, T. A. Outage probability and ergodic capacity of free-space optical links over strong turbulence. Electronic Letters, 2008, vol. 44, no. 1, p. 46 - 47.
  12. AGRAWAL, G. P. Fiber-Optic Communications Systems. 3nd ed. New York: John Wiley & Sons, 2002.
  13. VU, B. T., DANG, N. T., TRUONG, C.-T., PHAM, A. T. Bit error rate analysis of rectangular QAM/FSO systems using an APD receiver over atmospheric turbulence channels. IEEE/OSA Journal of Optical Communications and Networking, 2013, vol. 5, no. 5, p. 437 - 446.
  14. LUONG, D. A., TRUONG, C.-T., PHAM, A. T. Effect of avalanche photodiode and thermal noises on the performance of binary phase-shift keying-subcarrier-intensity modulation/free-space optical systems over turbulence channels. IET Communications, 2013, vol. 7, no. 8, p. 738 - 744.
  15. KIASALEH, K. Performance of APD-based, PPM free-space optical communication systems in atmospheric turbulence. IEEE Transactions on Communications, 2005, vol. 53, no. 9, p. 1455 - 1461.
  16. TSIFTSIS, T. A., SANDALIDIS, H. G., KARAGIANNIDIS, G. K., UYSAL, M. Optical wireless links with spatial diversity over strong atmospheric turbulence channels. IEEE Transactions on Wireless Communications, 2009, vol. 8, no. 2, p. 951 - 957.
  17. POPOOLA, W. O., GHASSEMLOOY, Z., ALLEN, J. I. H., LEITGEB, E., GAO, S. Free-space optical communication employing subcarrier modulation and spatial diversity in atmospheric turbulence channel. IET Optoelectronics, 2008, vol. 2, no. 1, p. 16 - 23.
  18. POPOOLA, W. O., GHASSEMLOOY, Z. BPSK subcarrier intensity modulated free-space optical communications in atmospheric turbulence. IEEE/OSA Journal of Lightwave Technology, 2009., vol. 27, no. 8, p. 967-973.
  19. NTOGARI, G., KAMALAKIS, T., SPHICOPOULOS, T. Analysis of indoor multiple-input multiple-output coherent optical wireless systems. IEEE/OSA Journal of Lightwave Technology, 2012, vol. 30, no. 3, p. 317 - 324.
  20. GRADSHTEYN, I. S., RYZHIK, I. M. Table of Integrals, Series, and Products. 6th ed. New York: Academic, 2000.
  21. ABRAMOWITZ, M., STEGUN, I. Handbook of Mathematical Functions. New York: Dover Publications, 1972.
  22. MILOVANOVIC, G. V. Numerical Analysis Part 2. Belgrade: Naucna knjiga, 1991. (in Serbian).

Keywords: Free space optical (FSO) communications, atmospheric turbulence, gamma-gamma distribution, error probability, avalanche photodiode (APD), on-off keying (OOK), equal gain combining (EGC)

V. Prajzler, R. Mastera, V. Jerabek [references] [full-text] [Download Citations]
Large Core Planar 1 x 2 Optical Power Splitter with Acrylate and Epoxy Resin Waveguides on Polydimetylsiloxane Substrate

Fabrication process of multimode 1 x 2 optical rectangular planar power splitter suitable for low-cost short distance optical network is presented. The splitters were designed by beam propagation method for standard input/output plastic optical fibre. Materials used for the splitter were: UV acrylate photopolymer polymer or epoxy resin for optical core waveguide layers and Y-groove substrate for the core layer was poly(methyl methacrylate) or polydimetylsiloxane made by replication process on poly(methyl methacrylate) pattern. The insertion losses of 1x2 splitters with acrylate waveguide layers were around 2.7 dB at 532 nm and 4.1 dB at 650 nm and those for epoxy resin waveguide layer were around 3.7 dB at 850 nm. The 1x2 splitters were tested by signal transmission being connected to the internet network by using optoelectronic switches and we achieved the maximum possible transmission data rate as provided by the computer network.

  1. DeCUSATIS, C. Fiber Optics Data Communication. 2nd ed. Academic Press, 2002.
  2. DeCUSATIS, C. Handbook of Fiber Optics Data Communication, A Practical Guide to Optical Networking. 3nd ed. Academic Press, 2008.
  3. MIZUNO, H., SUGIHARA, O., JORDAN, S., OKAMOTO, N., OHAMA, M., KAINO, T. Replicated polymeric optical waveguide devices with large core connectable to plastic optical fiber using thermo-plastic and thermo-curable resins. Journal of Lightwave Technology, 2006, vol. 24, no. 2, p. 919-926.
  4. SCHELINSKI, U., FROMMHANGEN, K., SCHOLLES, M. A home networking infrastructure using POF based on IEEE 1394. In Proceedings of the POF Conference. Nurnberg (Germany), 2004, p. 59–66.
  5. SASAYAMA, T., ASANO, H., TAKETANI, N. Multiplexed optical transmission system for automobiles using polymer fiber with high heat resistance. In Proceedings of the SPIE 0989, Fiber Optic Systems for Mobile Platforms II, 1989.
  6. ZIEMANN, O., KRAUSER, J., ZAMZOW, P. E., DAUM, W. POF Handbook: Optical Short Range Transmission Systems. 2nd ed. Springer, 2008.
  7. MA, H., JEN, A. K. Y., DALTON, L. R. Polymer based optical waveguides: Materials, processing and devices. Advanced Materials, 2002, vol. 14, no. 19, p. 1339-1365.
  8. BOOTH, B. L. Low-low channel wave-guides in polymers. Journal of Lightwave Technology, 1989, vol. 7, no. 10, p. 1445-1453.
  9. WONG, W. H., LIU, K. K., CHAN, P. S., PUN, E. Y. B. Polymer devices for photonics applications. J. Cryst. Grow., 2006, vol. 228, p. 100–104.
  10. LYUTAKOV, O., TUMA, J., PRAJZLER, V., HUTTEL, I., HNATOWICZ, V., SVORCIK, V. Preparation of rib channel waveguides on polymer in electric field. Thin Solid Films, 2010, vol. 519, no. 4, p. 1452-1457.
  11. PRAJZLER, V., KLAPUCH, J., LYUTAKOV, O., HUTTEL, I., SPIRKOVA, J., NEKVINDOVA, P., JERABEK, V. Design, fabrication and properties of rib poly (methylmethacrylimide) optical waveguides. Radioengineering, 2011, vol. 20, p. 479-485.
  12. ELDADA, L., SHACKLETTE, L. W. Advances in polymer integrated optics. IEEE Journal of Selected Topics in Quantum Electronics, 2000, vol. 6, no. 1, p. 54-68.
  13. SUM, T. C., BETTIOL, A. A., KAN, J. A., WATT, F., PUN, E. Y. B., TUNG, K. K. Proton beam writing of low-loss polymer optical waveguides. Applied Physics Letters, 2003, vol. 83, p. 1707-1709.
  14. CHUNG, K. K., CHAN, H. P., CHU, P. L. A 1 x 4 polarization and wavelength independent optical power splitter based on a novel wide-angle low-loss Y-junction. Optics Communications, 2006, vol. 267, p. 367–372.
  15. WANG, S., VAIDYANATHAN, V., BORDEN, B. Polymer optical channel waveguide components fabricated by using a laserdirect writing system. Journal of Applied Science & Engineering Technology, 2009, vol. 3, p. 47-52.
  16. SINGHAL, R., SATYANARAYAN, M. N., SUCHANDAN, P. Fabrication of single-mode Y-branch waveguides in photosensitive polymer with reduced Y-junction residue. Optik, 2012, vol. 123, p. 1911-1914.
  17. KAGAMI, M., ITO, H. Multimode power divider using radiation from tight bending waveguide. Journal of Lightwave Technology, 1998, vol. 16, no. 11, p. 2028-2033.
  18. MIZUNO, H., SUGIHARA, O., KAINO, T., OKAMOTO, N., OHAMA, M. Compact Y-branch-type polymeric optical waveguide devices with large-core connectable to plastic optical fibers. Japanese Journal of Applied Physics, 2005, vol. 44, p. 8504-8506.
  19. TAKAZEWA, Y., AKASAKA, S., OHARA, S., ISHIBASHI, T., ASANO, H., TAKETANI, N. Low excess losses in a Y-branching plastic optical waveguide formed through injection holding. Applied Optics, 1994, vol. 33, no. 12, p. 2307-2312.
  20. KLOTZBUECHER, T., BRAUNE, T., DADIC, D., SPRZAGALA, M., KOCH, A. Fabrication of optical 1x2 POF splitters using the Laser-LIGA technique. In Proceedings Laser Micromachining for Optoelectronic Device Fabrication, 2003, vol. 4941, p. 121-132.
  21. MIZUNO, H., SUGIHARA, O., KAINO, T., OKAMOTO, N., OHAMA, M. Compact Y-branch-type polymeric optical waveguide devices with large-core connectable to plastic optical fibers. Japanese Journal of Applied Physics, 2005, vol. 44, p. 8504-8506.
  22. EHSAN, A. A., SHAARI, S., RAHMAN, M. K. A. Low cost 1 × 2 acrylic-based plastic optical fiber coupler with hollow taper waveguide. Piers Online, 2009, vol. 5, no. 2, p. 129-132.
  23. KIM, K. T., KIM, M. K. Low-loss 1 x 2 plastic optical fiber coupler incorporating a tapered polymeric waveguide and plastic optical fiber transition regions. Japanese Journal of Applied Physics, 2012, vol. 51, p. 8504-8506.
  24. PARK, H. J., LIM, K. S., KANG, H. S. Low-cost 1×2 plastic optical beam splitter using a V-type angle polymer waveguide for the automotive network. Optical Engineering, 2011, vol. 50, no. 7, p. 075002-075004.
  25. PRAJZLER, V., PHAM, N. K., SPIRKOVA, J. Design, fabrication and properties of the multimode polymer planar 1 x 2 Y optical splitter. Radioengineering, 2012, vol. 21, p. 1202-1207.
  26. PRAJZLER, V., NERUDA, M., SPIRKOVA, J. Planar large core polymer optical 1x2 and 1x4 splitters connectable to plastic optical fiber. Radioengineering, 2013, vol. 22, p. 751-757.
  27. PRAJZLER, V., MASTERA, R., JERABEK, V. Design, fabrication and properties of optical large core polymer planar 1x2 splitter. In Proceedings of the Conference on Lasers and Electro- Optics Pacific Rim. Kyoto (Japan), 2013, TuPL-13.
  28. BELTRAMI, D. R., LOVE, J. D., LADOUCEUR, F. Multimode planar devices. Optical and Quantum Electronics, 1999, vol. 31, p. 307–326.
  29. EHSAN, A. A., SHAARI, S., RAHMAN, M. K. A. Acrylic and metal based Y-branch plastic optical fiber splitter with optical NOA63 polymer waveguide taper region. Optical Review, 2011, vol. 18, no. 1, p. 80-85.
  30. ULRICH, R., TORGE, R. Measurement of thin film parameters with a prism coupler. Applied Optics, 1973, vol. 12, no. 12, p. 2901 - 2908.
  31. Metricon Corporation. Webpage: www.metricon.com

Keywords: Multimode splitter, large core, optical planar waveguide, polymer, beam propagation method

H. Heininger [references] [full-text] [Download Citations]
Longitudinal Cavity Mode Referenced Spline Tuning for Widely Tunable MG-Y Branch Semiconductor Laser

This paper presents a novel method for wavelength-continuous tuning of a MG-Y-Branch Laser that possesses an intrinsic self-calibration capability. The method utilizes the measured characteristic output power pattern caused by the internal longitudinal cavity modes of the laser device to calibrate a set of cubical spline curves. The spline curves are then used to generate the tuning currents for the two reflector sections and the phase section of the laser from an intermediate tuning control parameter. A calibration function maps the desired laser wavelength to the intermediate tuning parameter, thus enabling continuous tuning with high accuracy.

  1. LAROY, R. New Concepts of Wavelength Tunable Laser Diodes for Future Telecom networks, Ph.D. dissertation. Ghent (Belgium): Ghent University, 2006.
  2. SARLET, G. Tunable Laser Diodes for WDM Communication - Methods for Characterisation and control, Ph.D. dissertation. Ghent (Belgium): Ghent University, 2000.
  3. HUSSEIN, I. Application Note AN-2095 Controlling the S7500 CW Tunable Laser (2012 May 9). [Online] Available at: http://www.finisar.com/sites/default/files/pdf/AN-2095-Controlling the S7500 CW Tunable Laser-RevA.pdf
  4. SARLET, S., BUUS, J., BAETS, R. European Patent Application EP 99 87 0214.
  5. Ignis, Inc.[Online] Cited 2012-05-09. Available at: http://ignis.com/products/tuneable-lasers/s7500/
  6. MORTHIER, G., LAROY, R., CHRISTIAENS, I., TODT, R., JACKE, TH., AMANN, M.-C., WESSTROM, J-O., HAMMERFELDT, S., MULLANE, T., RYAN, N., TODD, M. New widely tunable edge-emitting laser diodes at 1.55 μm developed in the European IST-project Newton. In Asia-Pacific Optical Communications. China, 2004.
  7. LAROY, R., MORTHIER, G., SARLET, G., WESSTROM, J.-O. Characteristics of the modulated grating Y laser for future WDM networks. In IEEE/Leos Benelux Annual Symposium. Enschede (the Netherlands), 2003, p. 55 - 57.
  8. WESSTROM, J.-O., HAMMERFELDT, S., BUUS, R., SILJAN, R., LAROY, R., DE VRIES, H. Design of a widely tunable modulated grating Y-branch laser using the additive Vernier effect for improved super-mode selection. In IEEE 18th International Semiconductor Laser Conference. Garmisch (Germany), 2002, p. 99 - 100.
  9. JAYARAMAN, V., CHUANG, Z.-M., COLDREN, L. A. Theory, design and performance of extended tuning range semiconductor lasers with sampled gratings. IEEE Journal of Quantum Electronics, vol. 29, no. 6, p. 1824 - 1834.
  10. WILLEMS, J., MORTHIER, G., BAETS, R. Novel widely tunable integrated optical filter with high spectral selectivity. In Proceedings of ECOC92. Berlin (Germany), 1992, p. 413 - 416.

Keywords: MG-Y-Branch Laser, Wavelength-Continuous Tuning, Longitudinal Cavity Mode, Spline Curve Tuning, Intermediate Tuning Parameter

J. Lemus-Lopez, A. Diaz-Sanchez, C. Muñiz-Montero, J. Ramirez-Angulo, J. M. Rocha-Perez., L. A. Sanchez-Gaspariano [references] [full-text] [Download Citations]
High Gain Amplifier with Enhanced Cascoded Compensation

A two-stage CMOS operational amplifier with both, gain-boosting and indirect current feedback frequency compensation performed by means of regulated cascode amplifiers, is presented. By using quasi-floating-gate transistors (QFGT) the supply requirements, the number of capacitors and the size of the compensation capacitors respect to other Miller schemes are reduced. A prototype was fabricated using a 0.5 μm technology, resulting, for a load of 45 pF and supply voltage of 1.65 V, in open-loop-gain of 129 dB, 23 MHz of gain-bandwidth product, 60o phase margin, 675 μW power consumption and 1% settling time of 28 ns.

  1. BULT, K. and GEELEN, G. J. G. M. A fast-settling CMOS OP Amp for SC circuits with 90-dB DC gain. IEEE Journal of Solid-State Circuits, 1990, vol. 25, no. 6, p. 1379 - 1384.
  2. RAMIREZ-ANGULO, J., et. al. Low voltage gain boosting schemes for one stage operational amplifiers. IEEE Journal of Solid-State Circuits, 1990, vol. 25, no. 6, p. 1379 - 1384.
  3. ANNEMA, A-J., NAUTA, B., VAN LAGEVELDE, R. Analog circuits in ultra-deep-submicron CMOS. IEEE Journal of Solid-State Circuits, 2005, vol. 40, no. 1, p. 132 - 143.
  4. AHUJA, B. K. An improved frequency compensation technique for CMOS operational amplifiers. IEEE Transactions on Circuits and Systems II, 1983, vol. 18, no. 6, p. 629 - 633.
  5. SAXENA, V., BAKER, R. J. Indirect compensation techniques for three-stage CMOS OP-Amps. IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). Seattle (USA), 2010, p. 588 - 591.
  6. CHONG, S. S., CHAN, P. K. Cross feedforward cascode compensation for low-power three-stage amplifier with large capacitive load. IEEE Journal of Solid-State Circuits, 2012, vol. 47, no. 9, p. 2227 - 2234.
  7. SANCHEZ-RODRIGUEZ, T., RAMIREZ-ANGULO, J., LOPEZMARTIN, A. J., CARBAJAL, R. G., PATIL, C. Gain enhancement and low-voltage techniques for analog circuits in deep submicrometer CMOS technologies. In INIEWSKI,K. (Ed.) CMOS Nanoelectronics: Analog RF VLSI Circuits p. 503 - 527, McGraw-Hill, New York, 2011.
  8. DAS, M. Improved design criteria of gain-boosted CMOS OTA with high-speed optimizations. IEEE Transactions on Circuits and Systems II, 2002, vol. 49, no. 3, p. 204 - 207.
  9. RAMIREZ-ANGULO, J., LOPEZ-MARTIN, A. J., CARBAJAL, R. G., LACKEY , C. Low-voltage closed-loop amplifier circuits based on quasi-floating gate transistors. In Proceedings of International Symposium on Circuits and Systems (ISCAS). Bangkok (Thailand), 2003, p. I-813 - I-816.
  10. PALMISANO, G., PALUMBO, G., PENNISI, S. Design procedure for two-stage CMOS transconductance operational amplifiers: A tutorial. Analog Integrated Circuits and Signal Processing, 2003, vol. 27, p. 179 - 189.
  11. HURST, P. J., LEWIS, S. H., KEANE, J. P., FARBOD, A. and DYER, K. C. Miller compensation using current buffers in fully differential CMOS two-stage operational amplifiers. IEEE Transactions on Circuits and Systems II, 2004, vol. 51, no. 2, p. 275 - 285.
  12. PELGROM, J. M., DUINMAIJER, C. J., WELBERGS, P. G. Matching properties of MOS transistors. IEEE Journal of Solid-State Circuits, 1989, vol. 24, no. 5, p. 1433 - 1440.
  13. MAHATTANAKUL, J., CHUTICHATUPORN, J. Design procedure for two-stage CMOS opamp with flexible noise-power balancing scheme. IEEE Transactions on Circuits and Systems I: Regular Papers, 2005, vol. 52, no. 8, p. 1508 - 1514.

Keywords: Gain boosting, frequency compensation, regulated cascode, quasi-floating gate transistors

J. Xu, C. Wang, J. Jin, Z. Xia [references] [full-text] [Download Citations]
Low-Voltage High-Linearity Wideband Current Differencing Transconductance Amplifier and Its Application on Current-Mode Active Filter

A low-voltage high-linearity wideband current differencing transconductance amplifier (CDTA) is presented in this paper. The CDTA consists of a current differencing circuit and a cross-coupling transconductance circuit. The PSPICE simulations of the proposed CDTA show a good performance: -3dB frequency bandwith is about 900 MHz, low power consumption is 2.48 mW, input current linear range is ±100 µA and low current-input resistance is less than 20 Ω, high current-output resistance is more than 3 MΩ. PSpice simulations for a current-mode universal filter and a proposed high-order filter are also conducted, and the results verify the validity of the proposed CDTA.

  1. DELIYANNIS, T., SUN, Y., FIDLER, J. K. Continuous-Time Active Filter Design. USA: CRC Press, 1999.
  2. SEDRA, A., SMITH, K. C. A second generation current conveyor and its application. IEEE Transactions on Circuit Theory, 1970, vol. 17, no. 1, p. 132-134.
  3. ACAR, C., OZOGUZ, S. A new versatile building block: current differencing buffered amplifier suitable for analog signal processing filters. Microelectron J, 1999, vol. 30, p.157–160.
  4. BIOLEK, D., BAJER, J., BIOLKOVA, V. Z copy-controlled gaincurrent differencing buffered amplifier and its applications. International Journal of Circuit Theory and Applications, 2011, vol. 39, p. 257–274.
  5. AYDOGMUS, M. A., KUNTMAN, H. New CMOS realization of ZC-CG-CDBA and its filter application. In Signal Processing and Communications Applications Conference (SIU), 2012, p. 1-4.
  6. BIOLEK, D., LAHIRI, A., JAIKLA, W. Realization of electronically tunable voltage-mode/current-mode quadrature sinusoidal oscillator using ZC-CG-CDBA. Microelectronics Journal, 2011, vol. 42, no. 10, p. 1116-1123.
  7. BAJER, J., BIOLEK, D. Digitally controlled quadrature oscillator employing two ZC-CG-CDBAs. In Proceedings of the International Conference on EDS. 2009, vol. 31, p. 126-132.
  8. BIOLEK, D. CDTA - Building block for current-mode analog signal processing. In Proceedings of the European Conference on Circuit Theory and Design 2003 - ECCTD'03. 2003, p. 397-400.
  9. JAIKLA, W., SIRIPRUCHYANUN, M. Current controlled CDTA (CCCDTA) based- novel floating and grounded inductance simulators. Communications and Information Technologies, 2006, p. 348-351.
  10. TANGSRIRAT, W. Current-mode multiphase sinusoidal oscillator using CDTA-based all-pass sections. Int. J. Electron. Commun. (AEU), 2009, vol. 63, p. 616 –622.
  11. KESKIN, A. U., BIOLEK, D. Current mode quadrature oscillator using current differencing transconductance amplifiers (CDTA). IEE Proc.-Circuits Devices Syst, 2006, vol. 153, p. 248-252.
  12. JAIKLA, W., LAHIRI, A. Resistor-less current-mode four-phase quadrature oscillator using CCCDTAs and grounded capacitors. Int. J. Electron. Commun. (AEU), 2012, vol. 66, p. 214 –218.
  13. YONGAN, L. A new single MCCCDTA based Wien-bridge oscillator with AGC. Int. J. Electron. Commun. (AEU), 2012, vol. 66, p. 153 –156.
  14. SAKUL, C., JAIKLA, W., DEJHAN, K. New resistorless currentmode quadrature oscillators using 2 CCCDTAs and grounded capacitors. Radioengineering, 2011, vol. 20, p. 890-898.
  15. JAIKLA, W., PROMMEE, P. Electronically tunable current-mode multiphase sinusoidal oscillator employing CCCDTA-based allpass filters with only grounded passive elements. Radioengineering, 2011, vol. 20, p. 594 –600.
  16. SIRIPRUCHYANUN, M., JAIKLA, W. Electronically controllable current-mode universal biquad filter using single DOCCCDTA. Circuits System Signal Process, 2008, vol. 27, p.113– 122.
  17. SHAH, N. A., QUADRI, M., IQBAL, S. Z. CDTA based universal transadmittance filter. Analog Integr. Circuits Signal Process, 2007, vol. 52, p. 65–69.
  18. TANJAROEN, W., TANGSRIRAT, W. Current-mode secondorder notch filter using CDTA-based allpass sections. In SICE Annual Conference. 2008, p. 1143-1146.
  19. TANJAROEN, W., DUMAWIPATA, T., TANGSRIRAT, W. TISO cascadable current-mode multifunction filter employing current differencing transconductance amplifiers. In SICE-ICASE International Joint Conference, 2006, p. 5703-5706.
  20. TANJAROEN, W., TANGSRIRAT, W. Resistorless current-mode first-order allpass filter using CDTAs. Electrical Engineering, Electronics, Computer, Telecommunications and Information Technology, 2008, vol. 2, p. 721-724.
  21. TANGSRIRAT, W., PUKKALANUN, T., SURAKAMPONTORN, W. Resistorless realization of current-mode first-order allpass filter using current differencing transconductance amplifiers. Microelectronics Journal, 2010, vol. 41, p. 178–183.
  22. PRASAD, D., BHASKAR, D. R., SINGH, A. K. Universal current-mode biquad filter using dual output current differencing transconductance amplifier. Int. J. Electron. Commun. (AEU), 2009, vol. 63, p. 497 –501.
  23. UYGUR, A., KUNTMAN, H. Design of a current differencing transconductance amplifier (CDTA) and its application on active filters. In Signal Processing and Communications Applications Conference. 2005, p. 340-343.
  24. BIOLEK, D., HANCIOGLU, E., KESKIN, A. U. Highperformance current differencing transconductance amplifier and its application in precision current-mode rectification. Int. J. Electron. Commun. (AEU), 2008, vol. 62, p. 92–96.
  25. KACAR, F., KUNTMAN, H. H. A new, improved CMOS realization of CDTA and its filter applications. Turkish Journal of Electrical Engineering and Computer Sciences, 2011, vol. 19, p. 631 –642.
  26. PRASAD, D., BHASKAR, D. R., SINGH, A. K. Multi-function biquad using single current differencing transconductance amplifier. Analog Integr. Circuits Signal Process, 2009, vol. 61, p. 309 –313.
  27. BIOLEK, D., BIOLKOVA, V., KOLKA, Z. Current-mode biquad employing single CDTA. Indian J. Pure Appl. Phys, 2009, vol. 47, p. 535-537.
  28. KACAR, F., KUNTMAN, H. H. A new CMOS current differencing transconductance amplifier (CDTA) and its biquad filter application. In Eurocon 2009: International IEEE Conference Devoted to the 150 Anniversary of Alexander S. Popov. 2009, p. 189-196.
  29. SHAH, N. A., QUADRI, M., IQBAL, S.Z. High output impedance current-mode all-pass inverse filter using CDTA. Indian J. Pure Appl. Phys, 2008, vol. 46, p. 893-896.
  30. SHAH, N. A., QUADRI, M., IQBAL, S.Z. Realization of CDTA based current-mode universal filter. Indian J. Pure Appl. Phys, 2008, vol. 46, p. 283-285.
  31. SHAH, N. A., QUADRI, M., IQBAL, S.Z. Application of CDTA in the realisation of current-mode active filter. Electronics World, 2007, vol. 113, p.42-43.
  32. SHAH, N. A., QUADRI, M., IQBAL, S.Z. Current-mode multifunction filter using current differencing transconductance amplifier. Indian J. Pure Appl. Phys, 2007, vol. 45, p. 767-769.
  33. DUMAWIPATA, T., TANGSRIRAT, W., SURAKAMPONTORN, W. Cascadable current-mode multifunction filter with two inputs and three outputs using CDTAs. In 2007 6th International Conference Information, Communications & Signal Processing. 2007, p. 10-13.
  34. TANGSRIRAT, W., PUKKALANUN, T. Structural generation of two integrator loop filters using CDTAs and grounded capacitors. International Journal of Circuit Theory and Applications, 2011, vol. 39, p. 31–45.
  35. UYGUR, A., KUNTMAN, H. Seventh-order elliptic video filter with 0.1 dB pass band ripple employing CMOS CDTAs. Int. J. Electron. Commun. (AEU), 2007, vol. 61, p. 320–328.
  36. BEKRI, A., ANDAY, F. Nth-order low-pass filter employing current differencing transconductance amplifiers. In Proceedings of the 2005 European Conference on Circuit Theory and Design. 2005, p. 193–196.
  37. DOSTAL, T., SMEJKAL, V., SLEZAK, J. Realization of arbitrary transfer current characteristic using transconductors CDTA. In 18th International Conference Radioelektronika. 2008, p. 24-25.
  38. TANGSRIRAT, W., DUMAWIPATA, T., SURAKAMPONTORN, W. Multiple-input single-output current-mode multifunction filter using current differencing transconductance amplifiers. Int. J. Electron. Commun. (AEU), 2007, vol. 61, p. 209 –214.
  39. YONGAN, L. A Modified CDTA (MCDTA) and its applications: Designing current-mode sixth-order elliptic band-pass filter. Circuits System Signal Process, 2011, vol. 30, no. 6, p. 1383-1390.
  40. JAIKLA, W., SIRIPRUCHYANUN, M., BAJER, J., BIOLEK, D. A simple current-mode quadrature oscillator using single CDTA. Radioengineering, 2008, vol. 17, no. 4, p. 33–40.
  41. LAHIRI, A., CHOWDHURY, A. A novel first-order current-mode all-pass filter using CDTA. Radioengineering, 2009, vol. 18, no. 3, p. 300-306.
  42. BIOLEK, D., SENANI, R., BIOLKOVA, V., KOLKA, Z. Active elements for analog signal processing: classification, review, and new proposals. Radioengineeing, 2008, vol. 17, no. 4, p. 15-32.
  43. SIRIPRUCHYANUN, M., JAIKLA, W. Current controlled current differencing transconductance amplifier and applications in continuous-time signal processing circuits. Analog Integr Circ Sig Process , 2009, vol. 61, p. 247–257.
  44. UYGUR, A., KUNTMAN, H. Design of a current differencing transconductance amplifier (CDTA) and its application on active filters. Signal Processing and Communications Applications Conference, 2005, p. 340-343.
  45. KESKIN, A. U., BIOLEK, D., HANCIOGLU, E., BIOLKOVA, V. Current-mode KHN filter employing current differencing transconductance amplifiers. Int. J. Electron. Commun. (AEU), 2006, vol. 60, p. 443–446.
  46. BIOLEK, D., HANCIOGLU, E., KESKIN, A. U. Highperformance current differencing transconductance amplifier and its application in precision current-mode rectification. Int. J. Electron. Commun. (AEU), 2008, vol. 62, p. 92–96.
  47. SIRIPRUCHYANUN, M., JAIKLA, W. CMOS current-controlled current differencing transconductance amplifier and applications to analog signal processing. Int. J. Electron. Commun. (AEU), 2008, vol. 62, p. 277–287.
  48. KHATEB, F., BIOLEK, D. Bulk-driven current differencing transconductance amplifier. Circuits System Signal Process, 2011, vol. 30, p. 1071–1089.
  49. ALLAM, E. A., MANKU, T., TING, M. Impact of technology scaling on CMOS RF devices and circuits. In Proceedings of the IEEE 2000 Custom Integrated Circuits Conference. 2000, p. 361– 364.
  50. TANGSRIRAT, W., KLAHAN, K., DUMAWIPATA, T., SURAKAMPONTORN, W. Low-voltage NMOS-based current differencing buffered amplifier and its application to current-mode ladder filter design. International Journal of Electronics, 2006, vol. 93, p. 777-791.
  51. NEDUNGADI, A., VISWANATHAN, T. Design of linear CMOS transcenductance elements. IEEE Transactions on Circuits and Systems, 1984, vol. 31, p.891-894.
  52. KHATIB, F., KHATIB, N., KUBANEK, D. Novel low-voltage low-power high-precision CCII± based on bulk-driven folded cascode OTA. Microelectronics Journal, 2011, vol. 42, p. 622-631.
  53. WILLIAMS, B. Electronic Filter Design Handbook. New York: McGraw Hill Book Company, 1981.

Keywords: Current mode, CDTA, universal filter, high-order filter.

U. E. Ayten, M. Sagbas, S. Minaei [references] [full-text] [Download Citations]
Realization of Low-Voltage Modified CBTA and Design of Cascadable Current-Mode All-Pass Filter

In this paper, a low voltage modified current backward transconductance amplifier (MCBTA) and a novel first-order current-mode (CM) all-pass filter are presented. The MCBTA can operate with ±0.9 V supply voltage and the total power consumption of MCBTA is 1.27 mW. The presented all-pass filter employs single MCBTA, a grounded resistor and a grounded capacitor. The circuit possesses low input and high output impedances which make it ideal for current-mode systems. The presented all-pass filter circuit can be made electronically tunable due to the bias current of the MCBTA. Non-ideal study along with simulation results are given for validation purpose. Further, an nth-order cascadable all-pass filter is also presented. It uses n MCBTAs, n grounded resistors and n grounded capacitors. The performance of the proposed circuits is demonstrated by using PSPICE simulations based on the 0.18 µm TSMC level-7 CMOS technology parameters.

  1. MAHESHWARI, S. High input impedance voltage-mode firstorder all-pass sections. International Journal of Circuit Theory and Applications, 2008, vol. 36, p. 511–512.
  2. CHANG, C. M., SOLIMAN, A. M., SWAMY, M. N. S. Analytical synthesis of low sensitivity high-order voltage-mode DDCC and FDCCII-grounded R and C all-pass filter structures. IEEE Transactions Circuits and Systems I-Regular Papers, 2007, vol. 54, p. 1430–1443.
  3. BIOLEK, D., BIOLKOVA, V. All-pass filters employing differential op amps. Electronics World, 2010, vol. 116, no. 1891, p. 44 – 45.
  4. METIN, B., PAL, K. Cascadable allpass filter with a single DOCCII and a grounded capacitor. Analog Integrated Circuits and Signal Processing, 2009, vol. 61, no. 3, p. 259–263.
  5. HERENCSAR, N., KOTON, J., JERABEK, J., VRBA, K., CICEKOGLU, O. Voltage-mode all-pass filters using universal voltage conveyor and MOSFET-based electronic resistors. Radioengineering, 2011, vol. 20, no. 1, p. 10–18.
  6. MINAEI, S., YUCE, E. Novel voltage-mode all-pass filter based on using DVCCs. Circuits, Systems, and Signal Processing, 2010, vol. 29, p. 391–402.
  7. HERENCSAR, N., LAHIRI, A., KOTON, J., SAGBAS, M., AYTEN, U. E. VRBA, K. New MOS-C realization of transadmittance type all-pass filter using modified CBTA. In Proceeding of International Conference on Applied Electronics (AE 2011). Pilsen (Czech Republic), 2011, p. 153 - 156.
  8. MINAEI, S., CICEKOGLU, O. New current-mode integrator and all-pass section without external passive elements and their applications to design a dual-mode quadrature oscillator. Frequenz, 2003, vol. 57, no. 1-2, p. 19-24.
  9. YUCE, E., MINAEI, S., HERENCSAR, N., KOTON, J. Realization of first-order current-mode filters with low number of MOS transistors. Journal of Circuits, Systems, and Computers, 2013, vol. 22, no. 1, 1250071 14 p.
  10. TANGSRIRAT W., PUKKALANUN, T., SURAKAMPONTORN, W. Resistorless realization of current-mode first-order allpass filter using current differencing transconductance amplifiers. Microelectronics Journal, 2010, vol. 41, p. 178–183.
  11. JAIKLA, W., NOPPAKARN, A., LAWANWISUT, S. New gain controllable resistor-less current-mode first order allpass filter and its application. Radioengineering, 2012, vol. 21, no. 1, p. 312-316.
  12. MAHESHWARI, S. High output impedance current-mode all-pass sections with two grounded passive components. IET Circuits Devices and Systems, 2008, vol. 2, no. 2, p. 234–242.
  13. LAHIRI, A., CHOWDHURY, A. A novel first-order current-mode all-pass filter using CDTA. Radioengineering, 2009, vol. 18, no. 3, p. 300-305.
  14. PANDEY, N., PAUL, S. K. Single CDTA-based current mode allpass filter and its applications. Journal of Electrical and Computer Engineering, 2011, vol. 2011, Article ID 897631.
  15. TANGSRIRAT, W. Cascadable current-mode first-order allpass filter using current controlled conveyors. Przegląd Elektrotechniczny, 2013, vol. 89, no. 1a, p. 187-190.
  16. SAFARI, L., MINAEI, S., YUCE, E. CMOS first-order currentmode all-pass filter with electronic tuning capability and its applications. Journal of Circuits, Systems, and Computers, 2013, vol. 22, no. 3, 1350007 17 p.
  17. AYTEN, U. E., SAGBAS, M., SEDEF, H. Current-mode leapfrog ladder filter using a new active component. AEU-International Journal of Electronics and Communications, 2010, vol. 64, no. 6, p. 503-511.
  18. SAGBAS, M. Component reduced floating ±L, ±C and ±R simulators with grounded passive components. AEU-Int. Journal of Electronics and Communications, 2011, vol. 65, p. 794-798.
  19. AYTEN, U. E., SAGBAS, M., HERENCSAR, N., KOTON, J. Novel floating general element simulators using CBTA. Radioengineering, 2012, vol. 21, no. 1, p. 11-19.
  20. SAGBAS, M., AYTEN, U. E., SEDEF, H. Current and voltage transfer function filters using a single active device. IET Circuits Devices and Systems, 2010, vol. 4, no. 1, p. 78-86.
  21. KOKSAL, M. Realization of a general all-pole current ratio transfer function by using CBTA. International Journal of Circuit Theory and Applications, 2013, vol. 41, no. 3, p. 319-329.
  22. SAGBAS, M., KOKSAL, M. Realization of a general resistorless active biquad by using CBTA. Journal of Circuits, Systems and Computers, 2012, vol. 21, no. 1, 1250013p.
  23. HERENCSAR, N., KOTON, J., VRBA, K., LAHIRI, A., AYTEN, U. E., SAGBAS, M. A new compact CMOS realization of sinusoidal oscillator using a single modified CBTA. In Proceeding of 21st International Conference Radioelektronika. Brno (Czech Republic), 2011, p. 41 - 44.
  24. AYTEN, U. E., SAGBAS; M., SEDEF, H. Electronically tunable sinusoidal oscillator circuit with current and voltage outputs. Int. Journal of Electronics, 2012, vol. 99, no. 8, p. 1133-1144.
  25. KOKSAL, M., AYTEN, U. E., SAGBAS, M. Realization of new mutually coupled circuit using CC-CBTAs. Circuits, Systems, and Signal Processing, 2012, vol. 31, no. 2, p. 435 - 446.
  26. SAGBAS, M. AYTEN, U. E., HERENCSAR, N., MINAEI S. Voltage-mode multiphase sinusoidal oscillators using CBTAs. In Proceedings of the 2012 35th International Conference on Telecommunications and Signal Processing (TSP 2012). Prague (Czech Republic), 2012, p. 421 - 425.
  27. KAEWDANG, K., SURAKAMPONTORN, W. On the realization of electronically current-tunable CMOS OTA. AEU-International Journal of Electronics and Communications, 2007, vol. 61, p. 300 to 306.
  28. GUNES, E. O., ZEKI, A., TOKER, A. Design of a high performance mutually coupled circuit. Analog Integrated Circuits and Signal Processing, 2011, vol. 66, no. 1, p. 81-91.

Keywords: Modified current backward transconductance amplifier (MCBTA), current-mode circuits, all-pass filter, active networks.

B. Metin, N. Herencsar, J. Koton, J. W. Horng [references] [full-text] [Download Citations]
DCCII-Based Novel Lossless Grounded Inductance Simulators With No Element Matching Constrains

In 1996, the differential current conveyor (DCCII) was introduced as a versatile active element with current differencing capability. Therefore, in this study, the usefulness of the DCCII is shown on six novel lossless grounded inductance simulator circuits. Proposed circuits simultaneously employ minimum number of elements, i.e. single DCCII, one capacitor, and two resistors. No passive element matching restriction is needed and all solutions are electronically tunable in case that one of resistors is replaced by MOSFET-based voltage-controlled resistor. The internal structure of the active element has been implemented using the TSMC 0.25 um SCN025 CMOS process BSIM3v3.1 parameters. Firstly, the performance of the selected inductor simulator is evaluated and subsequently verified in the design of 5th-order high-pass ladder and 2nd-order frequency filters. In addition, experimental results using commercially available AD844/ADs are given to verify the theoretical analysis and SPICE simulations.

  1. FORD, R. L., GIRLING, F. E. J. Active filters and oscillators using simulated inductance. Electronics Letters, 1966, vol. 2, no. 2, p. 52.
  2. ANTONIOU, A. Realization of gyrators using operational amplifiers, and their use in RC-active-network synthesis. Proceedings of the IEE, 1969, vol. 116, p. 1838 - 1850.
  3. FERRI, G., GUERRINI, N. C. Low-Voltage Low-Power CMOS Current Conveyors. Boston: Kluwer Academic Publishers, 2003.
  4. SOLIMAN, A. M. Ford-Girling equivalent circuit using CCII. Electronics Letters, 1978, vol. 14, no. 22, p. 721 - 722.
  5. SOLIMAN, A. M. New active-gyrator circuit using a single current conveyor. Proceedings of the IEEE, 1978, vol. 66, no. 11, p. 1580 - 1581.
  6. ARSLAN, E., CAM, U., CICEKOGLU, O. Novel lossless grounded inductance simulators employing only a single first generation current conveyor. Frequenz, 2004, vol. 57, no. 9 - 10, p. 204 - 206.
  7. ARSLAN, E., METIN, B., HERENCSAR, N., KOTON, J., MORGUL, A., CICEKOGLU, O. High performance wideband CMOS CCI and its application in inductance simulator design. Advances in Electrical and Computer Engineering, 2012, vol. 12, no. 3, p. 21 - 26.
  8. YUCE, E. Inductor implementation using a canonical number of active and passive elements. International Journal of Electronics, 2007, vol. 94, no. 4, p. 317 - 326.
  9. YUCE, E., MINAEI, S., CICEKOGLU, O. A novel grounded inductor realization using a minimum number of active and passive components. ETRI Journal, 2005, vol. 27, no. 4, p. 427 - 432.
  10. YUCE, E., MINAEI, S., CICEKOGLU, O. Limitations of the simulated inductors based on a single current conveyor. IEEE Trans. on Circuits and Systems—I, 2006, vol. 53, no. 12, p. 2860 - 2867.
  11. KACAR, F. New lossless inductance simulators realization using a minimum active and passive components. Microelectronics Journal, 2010, vol. 41, no. 2 - 3, p. 109 - 113.
  12. KACAR, F., YESIL, A. Novel grounded parallel inductance simulators realization using a minimum number of active and passive components. Microelectronics Journal, 2010, vol. 41, no. 10, p. 632 - 638.
  13. METIN, B. Supplementary inductance simulator topologies employing single DXCCII. Radioengineering, 2011, vol. 20, no. 3, p. 614 - 618.
  14. MYDERRIZI, I., MINAEI, S., YUCE, E. DXCCII-based grounded inductance simulators and applications. Microelectronics Journal, 2011, vol. 42, no. 9, p. 1074 - 1081.
  15. PANDEY, R., PANDEY, N., PAUL, S. K., SINGH, A., SRIRAM, B., TRIVEDI, K. New topologies of lossless grounded inductor using OTRA. Journal of Electrical and Computer Engineering, 2011, vol. 2011, p. 1 - 6, article ID 175130.
  16. GUPTA, A., SENANI, R., BHASKAR, D. R., SINGH, A. K. OTRAbased grounded-FDNR and grounded-inductance simulators and their applications. Circuits, Systems, and Signal Processing, 2012, vol. 31, p. 489 - 499.
  17. PANDEY, R., PANDEY, N., PAUL, S. K., SINGH, A., SRIRAM, B., TRIVEDI, K. Novel grounded inductance simulator using single OTRA. International Journal of Circuit Theory and Applications, 2013, DOI: 10.1002/cta.1905.
  18. KUMAR, P., SENANI, R. New grounded simulated inductance circuit using a single PFTFN. Analog Integrated Circuits and Signal Processing, 2010, vol. 62, no. 1, p. 105 - 112.
  19. ELWAN, H. O., SOLIMAN, A. M. A CMOS differential current conveyor and applications for analog VLSI. Analog Integrated Circuits and Signal Processing, 1996, vol. 11, p. 35 - 45.
  20. SEDRA, A. S., SMITH, K. C. A second-generation current conveyor and its applications. IEEE Transactions on Circuit Theory, 1970, vol. 17, p. 132 - 134.
  21. ACAR, C., OZOGUZ, S. A new versatile building block: currentdifferencing buffered amplifier suitable for analog signal processing filter. Microelectronic Journal, 1999, vol. 30, p. 157 - 160.
  22. HAMED, H. F., EL-GAAFARY, A., EL-HAKEEM, M. S. A. A new differential current conveyor and its application as a four quadrant multiplier. In Proceedings of the 8th IEEE International Conference on Electronics, Circuits and Systems (ICECS). Malta, 2001, vol. 2, p. 569 - 572.
  23. CIFTCIOGLU, S., KUNTMAN, H., ZEKI, A. New CMOS realization of high performance differential current conveyor (DCCII) and its application as a four quadrant multiplier. In Proceedings of the 12th Signal Processing and Communications Applications Conference (SIU). Kusadasi (Turkey), 2004, p. 367 - 370.
  24. CIFTCIOGLU, S., KUNTMAN, H., ZEKI, A. Realization of high performance differential current conveyor (DCCII) and application examples. In Proceedings of the International Conference Applied Electronics 2004. Pilsen (Czech Republic), 2004, p. 27 - 30.
  25. CIFTCIOGLU, S., KUNTMAN, H. New current-mode filter topologies employing DCCIIs. In Proceedings of the 14th Signal Processing and Communications Applications Conference (SIU). Belek (Turkey), 2006, p. 1 - 4.
  26. CIFTCIOGLU, S., KUNTMAN, H., ZEKI, A. New high performance CMOS differential current conveyor realization. In Proceedings of the 4th International Conference on Electrical and Electronics Engineering (ELECO). Bursa (Turkey), 2005, p. 68 - 71.
  27. FERRI, G., STORNELLI, V., GIULI, L. A low voltage low power DCCII and MRC-based 2nd-order multiple-output filter. In Proceedings of the 2nd Conference on Ph.D. Research in Microelectronics and Electronics (PRIME). Otranto (Italy), 2006, p. 17 - 20.
  28. CHAVOSHISANI, R., HASHEMIPOUR, O. Differential current conveyor based current comparator. International Journal of Electronics and Communications (AEU), 2011, vol. 65, no. 11, p. 949 - 953.
  29. METIN, B., HERENCSAR, N., VRBA, K. A CMOS DCCII with a grounded capacitor based cascadable all-pass filter application. Radioengineering, 2012, vol. 21, no. 2, p. 718 - 724.
  30. METIN, B. Canonical inductor simulators with grounded capacitors using DCCII. International Journal of Electronics, 2012, vol. 99, no. 7, p. 1027 - 1035.
  31. WANG, Z. 2-MOSFET transistors with extremely low distortion for output reaching supply voltage. Electronics Letters, 1990, vol. 26, no. 13, p. 951 - 952.
  32. HERENCSAR, N., KOTON, J., JERABEK, J., VRBA, K., CICEKOGLU, O. Voltage-mode all-pass filters using universal voltage conveyor and MOSFET-based electronic resistors. Radioengineering, 2011, vol. 20, no. 1, p. 10 - 18.
  33. BAKER, R. J., LI, H.W., BOYCE, D. E. CMOS Circuit Design, Layout, and Simulation. New York (USA): IEEE Press, 1998, chapter 7.
  34. TSMC 0.25 μm SCN025 CMOS technology SPICE BSIM3v3.1 parameters. [Online] Available at: ftp://ftp.isi.edu/pub/mosis/vendors/ tsmc-025/t58c mm non epi-params.txt
  35. RAUT, R., SWAMY, M. N. S. Modern Analog Filter Analysis and Design: A Practical Approach. Weinheim (Germany): Wiley-VCH, 2010, chapter 5.10.
  36. FERRI, G., GGUERRINI, N. C., DIQUAL, M. CCII-based floating inductance simulator with compensated series resistance. Electronics Letters, 2003, vol. 39, p. 1560 - 1562.
  37. YUCE, E., MINAEI, S. On the realization of simulated inductors with reduced parasitic impedance effects. Circuits Systems and Signal Processing, 2009, vol. 28, p. 451 - 465.
  38. MINAEI, S., YUCE, E. A simple CMOS-based inductor simulator and frequency performance improvement techniques. International Journal of Electronics and Communications (AEU), 2012, vol. 66, p. 884 - 891.
  39. AD844/AD: 60 MHz, 2000 V/μs Monolithic Op-Amp, datasheet. 2009. [Online] Available at: http://www.analog.com/static/importedfiles/ data sheets/AD844.pdf .

Keywords: DCCII, differential current conveyor, inductance simulator, ladder filter, lossless grounded inductor

Y.A. Li [references] [full-text] [Download Citations]
On the Systematic Synthesis of OTA-Based KHN Filters

According to the nullor-mirror descriptions of OTA, the NAM expansion method for three different types of KHN filters employing OTAs is considered. The type-A filters employing five OTAs have 32 different forms, the type-B filters employing four OTAs have 32 different forms, and the type-C filters employing three OTAs have eight different forms. At last a total of 72 circuits are received. Having used canonic number of components, the circuits are easy to be integrated and both pole frequency and Q-factor can be tuned electronically through tuning bias currents of the OTAs. The MULTISIM simulation results have been included to verify the workability of the derived circuit.

  1. HAIGH, D. G., CLARKE, T. J. W., RADMORE, P. M. Symbolic framework for linear active circuits based on port equivalence using limit variables. IEEE Transactions on Circuits and Systems I, 2006, vol. 53, no. 9, p. 2011-2024.
  2. HAIGH, D. G. A method of transformation from symbolic transfer function to active-RC circuit by admittance matrix expansion. IEEE Transactions on Circuits and Systems I, 2006, vol. 53, no. 12, p. 2715-2728.
  3. HAIGH, D. G., RADMORE, P. M. Systematic synthesis of active– RC circuit building-blocks. Analog Integrated Circuits and Signal Processing, 2005, vol. 43, no. 3, p. 297-315.
  4. HAIGH, D. G., RADMORE, P. M. Admittance matrix models for the nullor using limit variables and their application to circuit design. IEEE Transactions on Circuits and Systems I, 2006, vol. 53, no. 10, p. 2214-2223.
  5. SOLIMAN, A. M. Transformation of oscillators using op amps, unity gain cells and CFOA. Analog Integrated Circuits and Signal Processing, 2010, vol. 65, p. 105-114.
  6. SOLIMAN, A. M., SAAD, R. A. The voltage mirror-current mirror pair as a universal element. International Journal of Circuit Theory and Applications, 2010, vol. 38, no. 8, p. 787-795.
  7. SAAD, R. A., SOLIMAN, A. M. Use of mirror elements in the active device synthesis by admittance matrix expansion. IEEE Transactions on Circuits and Systems I, 2008, vol. 55, no. 9, p. 2726-2735.
  8. SAAD, R. A., SOLIMAN, A. M. Generation, modeling, and analysis of CCII-based gyrators using the generalized symbolic framework for linear active circuits. International Journal of Circuit Theory and Applications, 2008, vol. 36, no. 3, p. 289-309.
  9. SAAD, R. A., SOLIMAN, A. M. On the systematic synthesis of CCII-based floating simulators. International Journal of Circuit Theory and Applications, 2010, vol. 38, no. 9, p. 935-967.
  10. SOLIMAN, A. M. Generation of current conveyor based oscillators using nodal admittance matrix expansion. Analog Integrated Circuits and Signal Processing, 2010, vol. 65, no.1, p. 43-59.
  11. SOLIMAN, A. M. Generation of CCII and ICCII based Wien oscillators using nodal admittance matrix expansion. AEU– International Journal of Electronics and Communications, 2010, vol. 64, no. 10, p. 971-977.
  12. SOLIMAN, A. M. Generation of Kerwin-Huelsman-Newcomb biquad filter circuits using nodal admittance expansion. International Journal of Circuit Theory and Applications, 2011, vol. 39, no. 7, p. 697-717.
  13. SOLIMAN, A. M. History and progress of the Tow Thomas biquadratic filter part III: Generation using NAM expansion. Journal of Circuits Systems and Computers, 2010, vol. 19, no. 3, p. 529 to 548.
  14. LI, Y. A. NAM expansion method for systematic synthesis of OTA-based floating gyrators. AEU–International Journal of Electronics and Communications, 2013, vol. 67, no. 4, p. 289-294.
  15. SOLIMAN, A. M. Tranconductance amplifiers: NAM realizations and applications, chapter 4. In: Analog Circuits: Applications, Design and Performance. New York: Hauppauge, Nova Science Publisher, 2012, p. 93-119.
  16. SOLIMAN, A. M. Classification and pathological realizations of transconductance amplifiers. Journal of Circuits Systems and Computers, 2012, vol. 21, no. 1, p. 1-17. http://dx.doi.org/10.1142/S0218126612500107.
  17. SOLIMAN, A. M. Three port gyrator circuits using transconductance amplifiers or generalized conveyors. AEU- International Journal Electronics and Communication, 2012, vol. 66, no. 4, p. 286-293.
  18. LI, Y. A. Systematic synthesis of OTA-based T-T filters using NAME method. Journal of Circuits Systems and Computers, 2013, vol. 22, no. 3, p. 1-17, http://dx.doi.org/10.1142/S0218126613500023.
  19. LI, Y. A. On the systematic synthesis of OTA-based Wien oscillators. AEU–International Journal of Electronics and Communications, 2013, vol. 67, no. 9, p. 754-760.
  20. BARTHELEMY, H., MEILLERE, S., GAAUBERT, J., DEHAESE, N., BOURAEL, S. OTA based on CMOS inverters and application in the design of tunable bandpass filter. Analog Integrated Circuits and Signal Processing, 2008, vol. 57, no. 3, p. 169-178.
  21. WANG, C. H., ZHOU. L., LI, T. A new OTA-C current-mode biquad filter with single input and multiple outputs. AEU– International Journal of Electronics and Communications, 2008, vol. 62, no. 3, p. 232-234.
  22. KUMNGERN, M., KNOBNOB, B., DEJHAN, K. Electronically tunable high–input impedance voltage-mode universal biquadratic filter based on simple CMOS OTAs. AEU–International Journal of Electronics and Communications, 2010, vol. 64, no. 10, p. 934 to 939.
  23. CHIEN, H. C., LO, Y. K. OTA-based monostable multivibrators with current tuning properties. Microelectronics Journal, 2011, vol. 42, no. 1, p. 188-195.
  24. LO, Y. K., CHIEN, H. C. Current-controllable monostable multivibrator with retriggerable function. Microelectronics Journal, 2009, vol. 40, no. 8, p. 1184-1191.
  25. RIEWRUJA, V., PETCHMANEELUMKA, W. Floating currentcontrolled resistance converters using OTAs. AEU–International Journal of Electronics and Communications, 2008, vol. 62, no. 10, p. 725-731.
  26. ACAR, C., ANDAY, F., KUNTMAN, H. On the realization of OTA-C filters. International Journal of Circuit Theory and Applications, 1993, vol. 21, no. 2, p. 331-341.
  27. SOLIMAN, A. M. Generation and classification of Kerwin- Huelsman-Newcomb circuits using the DVCC. International Journal of Circuit Theory and Applications, 2008, vol. 37, no. 7, p. 835-855.
  28. METIN, B., PAL, K., MINAEI, S., CICEKOGLU, O. Trade-offs in the OTA-based analog filter design. Analog Integrated Circuits and Signal Processing, 2009, vol. 60, no. 3, p. 205-213.
  29. PETERSON, K. D., NEDUNGADI, A., GEIGER, R. L. Amplifier design consideration for high frequency monolithic filters. In Proc. ECCTD, Paris (France), 1987, p. 321-326.
  30. LI, Y. A. Electronically tunable current-mode quadrature oscillator using single MCDTA. Radioengineering, 2010, vol. 19, no. 4, p. 667-671.
  31. LI, Y. A. Current-mode sixth-order elliptic band-pass filter using MCDTAs. Radioengineering, 2011, vol. 20, no. 3, p. 645-649.

Keywords: KHN filter, OTA, nullor-mirror element, nodal admittance matrix expansion.

J. Slezak, J. Petrzela [references] [full-text] [Download Citations]
Evolutionary Synthesis of Cube Root Computational Circuit Using Graph Hybrid Estimation of Distribution Algorithm

The paper is focused on evolutionary synthesis of analog circuit realization of cube root function using proposed Graph Hybrid Estimation of Distribution Algorithm. The problem of cube root function circuit realization was adopted to demonstrate synthesis capability of the proposed method. Individuals of the population of the proposed method which represent promising topologies are encoded using graphs and hypergraphs. Hybridization with local search algorithm was used. The proposed method employs univariate probabilistic model.

  1. KOZA, J. R., BENNETT, F. H., FORREST, H., LOHN, J., DUNLAP, F., ANDRE, D., KEANE, M. A. Automated synthesis of computational circuits using genetic programming. In Proceedings of IEEE Conference on Evolutionary Computation. Indianapolis (IN, USA), 1997, p. 447 - 452.
  2. SAPARGALIYEV, Y., KALGANOVA, T. G. Unconstrained evolution of analog computational QR circuit with oscillating length representation. In Proceedings of the 8th International Conference on Evolvable Systems: From Biology to Hardware (ICES ’08). Prague (Czech Republic), 2008, p. 1 - 10.
  3. HANDA, H. Use of graph kernels in estimation of distribution algorithms. In IEEE Congress on Evolutionary Computation (CEC). Brisbane (QLD), 2012, p. 1 - 6.
  4. KOZA, J. R., BENETT, F. H., ANDRE, D., KEANE, M. A. Automated WYSIWYG design of both the topology and component values of electrical circuits using genetic programming. In Proceedings of the First Annual Conference on Genetic Programming. Cambridge (MA, USA), 1996, p. 123 - 131.
  5. GRIMBLEBY, J. B. Automatic analogue network synthesis using genetic algorithms. In Proceedings of the first international conference on genetic algorithms in engineering systems: Innovations and Applications (GALESIA). Sheffield (UK), 1995, p. 53 - 58.
  6. LOHN, J. D., COLOMBANO, S. P. A circuit representation technique for automated circuit design. IEEE Transactions on Evolutionary Computation, 1999, vol. 3, no. 3, p. 205 - 219.
  7. ZEBULUM, R. S., PACHECO, M. A., VELLASCO, M. M. Evolutionary Electronics: Automatic Design of Electronic Circuits and Systems by Genetic Algorithms. Florida (USA): CRC Press, 2001.
  8. MATTIUSSI, C., FLOREANO, D. Analog genetic encoding for the evolution of circuits and networks. IEEE Transactions on Evolutionary Computation, 2007, vol. 11, no. 5, p. 596 - 607.
  9. DAS, A., VEMURI, R. GAPSYS: A GA-Based tool for automated passive analog circuit synthesis. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). New Orleans (LA, USA), 2007, p. 2702 - 2705.
  10. DAS, A., VEMURI, R. Topology synthesis of analog circuits based on adaptively generated building blocks. In Proceedings of IEEE/ACM Design Automation Conference (DAC). Anaheim (CA, USA), 2008, p. 44 - 49.
  11. DAS, A., VEMURI, R. A graph grammar based approach to automated multiobjective analog circuit design. In Proceedings of Design, Automation, and Test in Europe (DATE). Nice (France), 2009, p. 700 - 705.
  12. MESQUITE, A., SALAZAR, F. A., CANAZIO, P. P. Chromosome representation through adjacency matrix in evolutionary circuits synthesis. In Proceedings of NASA/DoD Conference on Evolvable Hardware. Alexandria (VA, USA), 2002, p. 102 - 109.
  13. SLEZA´ K, J., SˇOTNER, R., PETRZˇELA, J. On the derivation of piecewise-linear chaotic oscillators using simulated annealing method and Hspice. Przeglad Elektrotechniczny, 2011, vol. 87, no. 1, p. 262 - 265.
  14. SLEZ ´ AK, J., G ¨ OTTHANS, T., DˇRI´NOVSKY´ , J. Evolutionary synthesis of fractional capacitor using simulated annealing method. Radioengineering, 2012, vol. 21, no. 4, p. 1252 - 1259.
  15. LARRAN˜ AGA, P., LOZANO, J. Estimation of Distribution Algorithms: A New Tool for Evolutionary Computation. Norwell (MA, USA): Kluwer Academic Publishers, 2002.
  16. MU¨ HLENBEIN, H., PAAß, G. From recombination of genes to the estimation of distributions I. binary parameters. Parallel Problem Solving from Nature (PPSN IV), 1996, vol. 1141, p. 178 - 187.
  17. ZINCHENKO, L., MU¨ HLENBEIN, H., KUREICHINK, V., MAHNING, T. Application of the univariate marginal distribution algorithm to analog circuit design. In Proceedings of NASA/DoD Conference on Evolvable Hardware. Alexandria (VA, USA), 2002, p. 93 - 101.
  18. TORRES, A., PONCE, E. E., TORRES, M. D., DIAZ, E., PADILLA, F. Comparison of two evolvable systems in the automated analog circuit synthesis. In Proceedings of Eighth Mexican International Conference on Artificial Intelligence (MICAI 2009). Guanajuato (Mexico), 2009, p. 3 - 8.

Keywords: Automated analog circuit synthesis, evolutionary algorithm, analog circuit design, estimation of distribution algorithm, computational circuit, univariate marginal distribution algorithm.