ISSN 1210-2512 (Print)

ISSN 1805-9600 (Online)

Radioengineering

Radioeng

Proceedings of Czech and Slovak Technical Universities

About the Journal
Feature Articles
Editorial Board
Publishing Department
Society [CZ]

Log out
Your Profile
Administration

June 2018, Volume 27, Number 2 [DOI: 10.13164/re.2018-2]

Show all Hide all

J. Chovan, F. Uherek [references] [full-text] [DOI: 10.13164/re.2018.0357] [Download Citations]
Photonic Integrated Circuits for Communication Systems

Photonic Integrated Circuits allow to meet the increasing demand of communication systems for internet which is growing at about 40% per year. This growth is driven mainly by increasing video traffic in the internet network. This growth is now further accelerated by mobile access, with video clients shipping on an all smart phones and tablets, enabling video to be consumed more conveniently via network connections anywhere and anytime. This paper reviews several material platform of photonic integrated circuits a compares their performance. This paper also describes the new approaches in the design and fabrication of optical transceivers based on photonic integrated circuits for next terabit era.

  1. ERICSSON Mobility Report November 2017, Mobile data traffic growth outlook. [Online] Available at: https://www.ericsson.com/en/ mobility-report/reports/november2017/mobile-data-traffic-growth-outlook
  2. ETHERNET ALLIANCE. The 2018 Ethernet Roadmap. [Online] Available at: https://ethernetalliance.org /the-2018-ethernetroadmap/
  3. HUAWEI TECHNOLOGIES CO., LTD. White Paper on Technological Developments of Optical Networks. [Online] Available at: http://www.huawei.com
  4. INFINERA CORPORATION. The Next Generation of Coherent Optical. [Online] Available at: https://www.infinera.com/auth/?pid=7366
  5. SMIT, M., LEIJTENS, X., AMBROSIUS, H. et al. An introduction to InP-based generic integration technology. Semiconductor Science and Technology, 2014, vol. 29, no. 8, p. 1–41. DOI: 10.1088/0268-1242/29/8/083001
  6. THE JEPPIX CONSORTIUM. JePPIX Roadmap 2018. [Online] Cited March 2018. Available at: http://www.jeppix.eu/vision/
  7. THOMSON, D., ZILKIE, A., BOWERS, J. E., et al. Roadmap on silicon photonics. Journal of Optics, 2016, vol. 18, no. 7, p. 1–20. DOI: 10.1088/2040-8978/18/7/073003
  8. WORHOFF, K., HEIDEMAN, R. G., LEINSE, A., et al. TriPleX: a versatile dielectric photonic platform. Advanced Optical Technologies, 2015, vol. 4, no. 2, p. 189–207. DOI: 10.1515/aot2015-0016
  9. WALLACE, J. Integrated-Photonics Laser Has Record-Narrow Chip-Based Linewidth of 290 Hz. [Online] Available at: http://www.laserfocusworld.com /articles/2017/07/integratedphotonics-laser-has-record-narrow-chip-based-linewidth-of-290- hz.html

Keywords: Integrated photonics, photonics integrated circuit, optoelectronics, optical transport network

S. Ramya, I. Srinivasa Rao [references] [full-text] [DOI: 10.13164/re.2018.0364] [Download Citations]
An Ultra-thin Compact Wideband Metamaterial Absorber

This article reports an ultra-thin, compact metamaterial absorber with wideband absorption at microwave frequencies. The proposed structure contains circular and rectangular split rings, and is ultra-thin of 0.049λ0 thickness with respect to the center frequency of the bandwidth. This structure provides wideband absorption of 3.84 GHz from 12.80 GHz to 16.64 GHz with 90 % absorptivity and absorption peaks are observed at 13.2 GHz and 16.5 GHz. The full width half maximum of the structure is 5.48 GHz from 17.57 GHz to 12.09 GHz. The absorption mechanism and polarization behavior of the structure has been studied. The proposed metamaterial absorber is sensitive to polarization but wideband absorption is attained only for specific normal and oblique angles of incidence, with reduced absorptivity. The structure has been fabricated, and the measured results match well with the simulation responses. The advantage of the proposed absorber is its wideband absorption with compact (8 mm × 8 mm size), ultra-thin (1 mm thick substrate) structure compared to that of the other existing microwave metamaterial absorbers.

  1. SMITH, D. R., PADILLA, W. J., VIER, D. C., et al. Composite medium with simultaneously negative permeability and permittivity. Physical Review Letters, 2000, vol. 84, no. 18, p. 4184–4187. DOI: 10.1103 /PhysRevLett.84.4184
  2. LI, L. W., LI, Y. N., YEO, T. S., et al. A broadband and high-gain metamaterial microstrip antenna. Applied Physics Letters, 2010, vol. 96, no. 16, p. 164101-1–164101-3. DOI: 10.1063/1.3396984
  3. CALOZ, C., ITOH, T., RENNINGS, A. CRLH metamaterial leaky-wave and resonant antennas. IEEE Antennas and Propagation Magazine, 2008, vol. 50, no. 5, p. 25–39. DOI: 10.1109/MAP.2008.4674709
  4. ERENTOK, A., ZIOLKOWSKI, R. W. Metamaterial-inspired efficient electrically small antennas. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 3, p. 691–707. DOI: 10.1109/TAP.2008.916949
  5. PALANDOKEN, M. Artificial materials based microstrip antenna design. In Microstrip Antennas. Ed. Nasimuddin, N. InTechOpen, 2011. Available at: https://www.researchgate.net/profile/Merih_Palandoken/publicatio n/221911593_Artificial_Materials_based_Microstrip_Antenna_De sign/links/02bfe50fdd74b35cb5000000/Artificial-Materials-basedMicrostrip-Antenna-Design.pdf DOI: 10.5772/14908
  6. PALANDOKEN, M. Microstrip antenna with compact anti‐spiral slot resonator for 2.4 GHz energy harvesting applications. Microwave and Optical Technology Letters, 2016, vol. 58, no. 6, p. 1404–1408. DOI: 10.1002/mop.29824
  7. CAI, W., CHETTIAR, U. K., KILDISHEV, A. V., et al. Optical cloaking with metamaterials. Nature Photonics, 2007, vol. 1, no. 4, p. 224–227. DOI: 10.1038/nphoton.2007.28
  8. ALU, A., ENGHETA, N. Achieving transparency with plasmonic and metamaterial coatings. Physical Review E, 2005, vol. 7, no. 1, p. 016623-1–016623-9. DOI: 10.1103/PhysRevE.72.016623
  9. CUMMER, S. A., POPA, B. I., SCHURIG, D., et al. Full wave simulations of electromagnetic cloaking structures. Physical Review E, 2006, vol. 74, no. 3, p. 036621-1–036621-5. DOI: 10.1103/PhysRevE.74.036621
  10. LANDY, N. I., SAJUYIGBE, S., MOCK, J. J., et al. Perfect metamaterial absorber. Physical Review Letters, 2008, vol. 100, no. 20, p. 207402-1–207402-4. DOI: 10.1103/PhysRevLett.100.207402
  11. BILOTTI, F., NUCCI, L., VEGNI, L. An SRR-based microwave absorber. Microwave and Optical Technology Letters, 2006, vol. 48, no. 11, p. 2171–2175. DOI: 10.1002/mop.21891
  12. DINCER, F., KARAASLAN, M., UNAL, E., et al. Design of polarization- and incident angle independent perfect metamaterial absorber with interference theory. Journal of Electronic Materials, 2014, vol. 43, no. 11, p. 3949–3953. DOI: 10.1007/s11664-014- 3316-x
  13. SOHEILIFAR, M. R., SADEGHZADEH, R. A., GOBADI, H. Design and fabrication of a metamaterial absorber in the microwave range. Microwave and Optical Technology Letters, 2014, vol. 56, no. 8, p. 1748–1752. DOI: 10.1002/mop.28437
  14. ZHAI, H., LI, Z., LI, L., et al. A dual-band wide-angle -insensitive ultrathin gigahertz metamaterial absorber. Microwave and Optical Technology Letters, 2013, vol. 55, no. 7, p. 1606–1609. DOI: 10.1002/mop.27622
  15. RAMYA, S., SRINIVASA RAO, I. Design of polarizationinsensitive dual band metamaterial absorber. Progress In Electromagnetics Research M, 2016, vol. 50, p. 23–31. DOI: 10.2528/PIERM16070501
  16. YOO, Y. J., KIM, Y. J., HWANG, J. S., et al. Triple-band perfect metamaterial absorption, based on single cut-wire bar. Applied Physics Letters, 2015, vol. 106, no.7, p. 071105-1–071105-5. DOI: 10.1063/1.4913243
  17. BIAN, B., LIU, S., WANG, S., et al. Novel triple-band polarization insensitive wide-angle ultra-thin microwave metamaterial absorber. Journal of Applied Physics, 2013, vol. 114, no. 19, p. 194511-1–194511-6. DOI: 10.1063/1.4832785
  18. BHATTACHARYA, A., BHATTACHARYYA, S., GHOSH, S., et al. An ultrathin pentaband polarization-insensitive compact metamaterial absorber for airborne radar applications. Microwave and Optical Technology Letters, 2015, vol. 57, no. 11, p. 2519 to 2524. DOI: 10.1002/mop.29365
  19. LEE, J., LIM, S. Bandwidth-enhanced and polarization-insensitive metamaterial absorber using double resonance. Electronics Letters, 2011, vol. 47, no. 1, p. 8–9. DOI: 10.1049/el.2010.2770
  20. TAO, H., LANDY, N., BINGHAM, C. M., et al. A metamaterial absorber for the terahertz regime: design, fabrication and characterization. Optics Express, 2008, vol. 16, no. 10, p. 7181–7188. DOI: 10.1364/OE.16.007181
  21. ZHANG, N., ZHOU, P., CHENG, D., et al. Dual-band absorption of mid-infrared metamaterial absorber based on distinct dielectric spacer layers. Optics Letters, 2013, vol. 38, no. 7, p. 1125–1127. DOI: 10.1364/OL.38.001125
  22. LI, S. J., CAO, X. Y., GAO, J., et al. Analysis and design of threelayer perfect metamaterial-inspired absorber based on double splitserration-rings structure. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 11, p. 5155–5160. DOI: 10.1109/TAP.2015.2475634
  23. BHATTACHARYYA, S., GHOSH, S., CHAURASIYA, D., et al. Bandwidth-enhanced dual-band dual-layer polarization-independent ultra-thin metamaterial absorber. Applied Physics A, 2015, vol. 118, no. 1, p. 207–215. DOI: 10.1007/s00339-014-8908-z
  24. LI, L., WANG, J., DU, H., et al. A band enhanced metamaterial absorber based on E shaped all-dielectric resonators. AIP Advances, 2015, vol. 5, p. 017147-1–017147-9. DOI: 10.1063/1.4907050
  25. LEE, J., LIM, S. Bandwidth-enhanced and polarization-insensitive metamaterial absorber using double resonance. Electronics Letters, 2011, vol. 47, no. 1, p. 8–9. DOI: 10.1049/el.2010.2770
  26. SOOD, D., TRIPATHI, C. C. A wideband wide-angle ultrathin low profile metamaterial microwave absorber. Microwave and Optical Technology Letters, 2016, vol. 58, no. 5, p. 1131–1135. DOI: 10.1002/mop.29741
  27. BHATTACHARYYA, S., SRIVASTAVA, K. V. Triple band polarization-independent ultra-thin metamaterial absorber using electric field-driven LC resonator. Journal of Applied Physics, 2014, vol. 115, p. 064508-1–064508-7. DOI: 10.1063/1.4865273
  28. LIN, B. Q., ZHAO, S. H., DA, X. Y., et al. Design of an ultracompact metamaterial absorber. Microwave and Optical Technology Letters, 2015, vol. 57, no. 6, p. 1439–1441. DOI: 10.1002/mop.29099
  29. AYOP, O., RAHIM, M. K. A., MURAD, N. A., et al. Wideband polarization-insensitive metamaterial absorber with perfect dual resonances. Applied Physics A, 2016, vol. 122, no. 4, p. 1–7. DOI: 10.1007/s00339-016-9897-x
  30. AGARWAL, M., BEHERA, A. K., MESHRAM, M. K. Wideangle quad-band polarisation insensitive metamaterial absorber. Electronics Letters, 2016, vol. 52, no. 5, p. 340–342. DOI: 10.1049/el.2015.4134
  31. GHOSH, S., BHATTACHARYYA, S., KAIPRATH, Y., et al. Bandwidth-enhanced polarization-insensitive microwave metamaterial absorber and its equivalent circuit model. Journal of Applied Physics, 2014, vol. 115, no. 10, p. 104503-1–104503-5. DOI: 10.1063/1.4868577
  32. ZHAI, H., ZHAN, C., LI, Z., et al. A triple band ultrathin metamaterial absorber with wide-angle and polarization stability. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 241–244. DOI: 10.1109/LAWP.2014.2361011
  33. RAMYA, S., SRINIVASA RAO, I. A compact ultra-thin ultrawideband microwave metamaterial absorber. Microwave and Optical Technology Letters, 2017, vol. 59, p. 1837–1845. DOI: 10.1002/mop.30636

Keywords: Microwave absorber, metamaterial, wideband absorber, ultra-thin

D. K. Choudhary, R. K. Chaudhary [references] [full-text] [DOI: 10.13164/re.2018.0373] [Download Citations]
A Compact Triple Band Metamaterial Inspired Bandpass Filter Using Inverted S-shape Resonator

This paper represents the compact metamaterial inspired triple-band filter using two inverted S-shape resonator and two C-shape stub with via. Proposed filter is printed on FR-4 epoxy glass substrate with 1.6 mm thickness. The measured 3 dB fractional bandwidth of 40 % (1.6-2.4 GHz), 16.5 % (3.9-4.6 GHz) and 14.3 % (5.2-6.0 GHz) at centre frequencies 2.0 GHz, 4.25 GHz and 5.6 GHz respectively. This filter offers electrical circuit size of 0.22λg × 0.16λg, where given λg is the guided wavelength at centre frequency of first passband 2.0 GHz. The performance parameter of designed filter have characterized by fractional bandwidth, insertion loss, dielectric constant, return loss, circuit size and group delay. Both simulated and measured results are shown to validate the proposed filter. Finally, the MTM properties of proposed filter has been verified by extracting its dispersion diagram. It is suitable for GSM 1800, LTE 2300 and WiMAX (5.2-5.8 GHz) application.

  1. HSU, C. G., LEE, C., HSIEH, Y. Tri-band bandpass filter with sharp passband skirts designed using tri-section SIRs. IEEE Microwave and Wireless Components Letters, 2008, vol. 18, no. 1, p. 19–21. DOI: 10.1109/LMWC.2007.911976
  2. HONG, J. S. Microstrip Filters for RF/Microwave Applications. 2nd ed. New York: Wiley, 2011. ISBN: 978-0-470-40877-3
  3. WANG, W., LI, Y., CAO, Q., YANG, S., CHEN, Y. Design of triple-bandpass filters using an asymmetric stepped-impedance ring resonator. Progress In Electromagnetics Research Letters, 2017, vol. 67, p. 7–12. DOI: 10.2528/PIERL17011605
  4. CHEN, B. J., SHEN, T. M., WU, R. B. Design of tri-band filters with improved band allocation. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 7, p. 1790–1797. DOI: 10.1109/TMTT.2009.2022888
  5. LAI, X., LIANG, C. H., DI, H., WU, B. Design of tri-band filter based on stub loaded resonator and DGS resonator. IEEE Microwave and Wireless Components Letters, 2010, vol. 20, no. 5, p. 265–267. DOI: 10.1109/LMWC.2010.2045584
  6. KOIRALA, G. R., KIM, N. Y. Multiband bandstop filter using an I-stub-loaded meandered defected microstrip structure. Radioengineering, 2016, vol. 25, no. 1, p. 61–66, DOI: 10.13164/re.2016.0061
  7. MO, Y., SONG, K., FAN, Y. Miniaturized triple-band bandpass filter using coupled lines and grounded stepped impedance resonators. IEEE Microwave and Wireless Components Letters, 2014, vol. 24, no. 5, p. 333–335. DOI: 10.1109/LMWC.2014.2310458
  8. FAN, W. X., LI, Z. P., GONG, S. X. Tri-band filter using combined E-type resonators. Electronics Letters, 2013, vol. 49, no. 3, p. 193–194. DOI: 10.1049/el.2012.3617
  9. KUMAR, N., SINGH, Y. K. Compact tri-band bandpass filter using three stub-loaded open-loop resonator with wide stopband and improved bandwidth response. Electronics Letters, 2014, vol. 50, no. 25, p. 1950–1952. DOI: 10.1049/el.2014.3425
  10. GAO, L., ZHANG, X. Y., ZHAO, X. L., et al. Novel compact quad-band bandpass filter with controllable frequencies and bandwidths. IEEE Microwave and Wireless Components Letters, 2016, vol. 26, no. 3, p. 395–397. DOI: 10.1109/LMWC.2016.2558038
  11. CALOZ, C., ITOH, T. Electromagnetic Metamaterials: Transmission Line Approach and Microwave Applications. Hoboken (NJ, USA): Wiley, 2006. ISBN-10: 0-471-66985-7
  12. CHOUDHARY, D. K., CHAUDHARY, R. K. A compact coplanar waveguide (CPW)-fed zeroth-order resonant filter for bandpass applications. Frequenz Journal of RF-Engineering and Telecommunications, 2017, vol. 71, p. 305–310. DOI: 10.1515/freq-2016-0147
  13. CAO, H., YI, M., CHEN, H., et al. A novel compact tri-band bandpass filter based on dual-mode CRLH-TL resonator and transversal stepped impedance resonator. Progress In Electromagnetics Research Letters, 2015, vol. 56, p. 53–58. DOI: 10.2528/PIERL15080403
  14. KUMAR, A., CHOUDHARY, D. K., CHAUDHARY, R. K. Metamaterial tri-band bandpass filter using meander-line with rectangular-stub. Progress In Electromagnetics Research Letters, 2017, vol. 66, p. 121–126. DOI: 10.2528/PIERL16123103
  15. XU, H. X., WANG, G. M., LIANG, J. G. Novel designed CSRRs and its application in tunable tri-band bandpass filter based on fractal geometry. Radioengineering, 2011, vol. 20, no. 2, p. 312–316. ISSN: 1210-2512
  16. FOUAD, M. A., ABDALLA, M. A. New π–T generalised metamaterial negative refractive index transmission line for a compact coplanar waveguide triple band pass filter applications. IET Microwaves, Antennas and Propagation, 2014, vol. 8, no. 13, p. 1097–1104. DOI: 10.1049/iet-map.2013.0698
  17. KUMAR, A., CHOUDHARY, D. K., CHAUDHARY, R. K. Triple-band composite right/left handed bandpass filter using a new circular inter-digital capacitor for wireless applications. Progress In Electromagnetics Research C, 2017, vol. 71, p. 133–140, 2017. DOI: 10.2528/PIERC16122903
  18. NUMAN, A. B., SHARAWI, M. S. Extraction of material parameters for metamaterials using a full-wave simulator. IEEE Antennas and Propagation Magazine, 2013, vol. 55, no. 5, p. 202–210. DOI: 10.1109/MAP.2013.6735515

Keywords: Triple-band, bandpass filter, metamaterial, S-shape resonator

C. Zhang, X.Y. Cao, J. Gao, S. J. Li [references] [full-text] [DOI: 10.13164/re.2018.0379] [Download Citations]
Wideband High-Gain and Low Scattering Antenna Using Shared-Aperture Metamaterial Superstrate

In this paper, a novel wideband high-gain and low scattering antenna using a shared-aperture metamaterial superstrate (SAMS) is designed, fabricated and measured. The superstrate unit cell consisting of two frequency selective surface (FSS) layers with a positive reflection phase gradient is designed to enhance the antenna gain. Then, three different sizes of single units are arranged as a shared-aperture configuration to form the metamaterial superstrate, which is loaded onto the antenna. By utilizing the phase compensation property along different units, the antenna gain enhancement bandwidth is effectively broadened. By adjusting the SAMS loading height, the antenna radar cross section (RCS) is also reduced obviously owing to the different reflective wave phases of PRS and antenna ground. After loading SAMS, the antenna possesses an impedance bandwidth of 44.7% from 7.8GHz to 12.3GHz, covering the whole X band. From 7.9GHz to 12.1GHz, the antenna has an obvious gain enhancement, with a peak of 7dB, meanwhile, the antenna RCS is effectively reduced from 4GHz to 12GHz and the maximum RCS reduction reaches 25.4dB at 8.6GHz for x-polarized incident wave and 15.8dB for y-polarized incident wave. The results are validated by both numerical simulation and experimental measurements. Comparing with traditional fabry-perot (FP) antenna, SAMS can effectively broaden the gain enhancement bandwidth and reduce the antenna RCS, it has great application values in designing high-gain and low scattering antennas.

  1. JIA, Y., LIU, Y., GONG, S. Slot-coupled broadband patch antenna. Electronics Letters, 2015, vol. 51, no. 6, p. 445–447. DOI: 10.1049/el.2014.3905
  2. LI, S., CAO, X., XU, L., et al. Ultra-broadband reflective metamaterial with RCS reduction based on polarization convertor, information entropy theory and genetic optimization algorithm. Scientific Reports, 2016, vol. 6, p. 37409. DOI: 10.1038/srep37409
  3. MONTI, M., SORIC, J., BARBUTO, M., et al. Mantle cloaking for co-site radio-frequency antennas. Applied Physics Letters, 2016, vol. 108, p. 113502. DOI: 10.1063/1.4944042
  4. RODES, E., DIBLANC, M., AMAUD, E., et al. Dual-band EBG resonator antenna using a single-layer FSS. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 368–371. DOI: 10.1109/LAWP.2007.902808
  5. FERESIDIS, A., VARDAXOGLOU, J. High gain planar antenna using optimized partially reflective surfaces. IEE Proceedings: Microwaves, Antennas and Propagation, 2001, vol. 148, no. 6, p. 345–350. DOI: 10.1049/ip-map:20010828
  6. HAN, Z., SONG, W., SHENG, X. Gain enhancement and RCS reduction for patch antenna by using polarization-dependent EBG surface. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 1631–1634. DOI: 10.1109/LAWP.2017.2658195
  7. SCHURIF, D., MOCK, J. J., JUSTICE, B. J., et al. Metamaterial electromagnetic cloak at microwave frequencies. Science, 2006, vol. 314, p. 977–980. DOI: 10.1126/science.1133628
  8. ZHANG, C., CAO, X., GAO, J. Low RCS and broadband ME dipole antenna loading artificial magnetic conductor structures. Radioengineering, 2017, vol. 26, no. 1, p. 38–44. DOI: 10.13164/re.2017.0038
  9. WU, Q., PAN, P., MENG, F., et al. A novel flat lens horn antenna designed based on zero refraction principle of metamaterials. Applied Physics A, 2007, vol. 87, no. 2, p. 151–156. DOI: 10.1007/s00339-006-3820-9
  10. RAMACCIA, D., BARBUTO, M., MONTI, A., et al. Exploiting intrinsic dispersion of metamaterials for designing broadband aperture antennas: theory and experimental verification. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 3, p. 1141–1146. DOI: 10.1109/TAP.2016.2521871
  11. YANG, F., AMINIAN, A., RAHMAT-SAMII, Y. A novel surface-wave antenna design using a thin periodically loaded ground plane. Microwave and Optical Technology Letters, 2005, vol. 47, no. 3, p. 240–245. DOI: 10.1002/mop.21136
  12. ZEB, B., GE, Y., ESSELLE, K., et al. A simple dual-band electromagnetic band gap resonator antenna based on inverted reflection phase gradient. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 10, p. 4522–4529. DOI: 10.1109/TAP.2012.2207331
  13. TRENTINI, G. V. Partially reflecting sheet arrays. IRE Transactions on Antennas and Propagation, 1956, vol. 4, no. 4, p. 666–671. DOI: 10.1109/TAP.1956.1144455
  14. ZHENG, Y., GAO, J., CAO, X., et al. Wideband RCS reduction and gain enhancement microstrip antenna using chessboard superstrate. Microwave and Optical Technology Letters, 2015, vol. 57, no. 7, p. 1738–1742. DOI: 10.1002/mop.29167
  15. ZHANG, L., WAN, L., LIU, S., et al. Realization of low scattering for a high-gain Fabry-Perot antenna using coding metasurface. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 7, p. 3374–3383. DOI: 10.1109/TAP.2017.2700874
  16. JIANG, H., XUE, Z., LI, W., et al. Low RCS high-gain partially reflecting surface antenna with metamaterial ground plane. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 9, p. 4127–4132. DOI:10.1109/TAP.2016.2589964
  17. GE, Y., ESSELLE, K., BIRD, T., et al. The use of simple thin partially reflective surfaces with positive reflection phase gradients to design wideband, low-profile EBG resonator antennas. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 2, p. 743–750. DOI: 10.1109/TAP.2011.2173113
  18. WANG, N., LIU, Q., WU, C., et al. Wideband Fabry-Perot resonator antenna with two complementary FSS layers. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 5, p. 2463–2471. DOI: 10.1109/TAP.2014.2308533
  19. WEILY, A., ESSELLE, K., BIRD, T., et al. Dual resonator 1-D antenna with slot array feed for improved radiation bandwidth. IET Microwaves Antennas Propagation, 2007, vol. 1, no. 1, p. 198–203. DOI: 10.1049/iet-map:20050314

Keywords: Wideband, gain enhancement, RCS reduction, shared-aperture, Fabry-Perot resonator

Si-Jia Li, Xiang-Yu Cao, He-Xiu Xu, Zhao Zhang,Yu-Long Zhou, Jiang-Feng Han, Chen Zhang [references] [full-text] [DOI: 10.13164/re.2018.0386] [Download Citations]
Ultra-Wideband RCS Reduction of Metasurface Antenna Based on Spoof Surface Plasmon Polariton and Transmission

In this paper, a metasurface antenna with ultra-broadband radar cross section (RCS) reduction was systematically presented and evaluated based on the spoof surface plasmon polariton (SSPP) and transmission. A circular metasurface consisted of twelve gradually increasing two-sided metallic grooves and an ultra-thin dielectric film. The evolutions of dispersion characteristic and near electric-field distributions were adequately demonstrated based on SSPP in simulation. Furthermore, the SSPP metasurface had been loaded on a common waveguide slot antenna. The simulation and laboratory measurements were performed to characterize RCS reduction, radiation patterns and scattering performance. A prototype of metasurface antenna had been fabrication and measurement to demonstrate the characteristics. Experiment data were carried out to verify the simulation results and measured results showed that the metasurface antenna exhibited ultra-wideband RCS reduction from 1 GHz to 10 GHz.

  1. GENOVESI, S., COSTA, F., MONORCHIO, A. Wideband radar cross section reduction of slot antennas arrays. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 1, p. 163–173. DOI: 10.1109/TAP.2013.2287888
  2. MONTI, A., SORIC, J., BARBUTO, M., et al. Mantle cloaking for co-site radio-frequency antennas. Applied Physics Letters, 2016, vol. 108, no. 11, p. 113502-5. DOI:10.1063/1.4944042
  3. ZHOU, H., QU, S.-B., LIN, B.-Q., et al. Filter-antenna consisting of conical FSS radome and monopole antenna. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 6, p. 3040–3045. DOI: 10.1109/TAP. 2012.2194648
  4. TURPIN, J. P., SIEBER, P. E., WERNER, D. H. Absorbing ground planes for reducing planar antenna radar cross-section based on frequency selective surfaces. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, no. 1, p. 1456–1459. DOI: 10.1109/LAWP.2013.2288682
  5. PENDRAY, J. B., SCHURIG, D., SMITH, D. R. Controlling electromagnetic fields. Science, 2006, vol. 312, no. 5781, p. 1780 to 1782. DOI. 10. 1126/science.1125907
  6. LI, S., GAO, J., CAO, X., et al. Wideband, thin, and polarization insensitive perfect absorber based the double octagonal rings metamaterials and lumped resistances. Journal of Applied Physics, 2014, vol. 116, p. 043710. DOI: 10.1063/1.4891716
  7. JIA, Y., LIU, Y., GUO, J, et al. Broadband polarization rotation reflective surfaces and their applications to RCS reduction. IEEE Transaction on Antennas and Propagation, 2016, vol. 64, no. 1, p. 179–185. DOI: 10.1109/TAP.2015.2502981
  8. PAN, W., HUANG, C., PU, M., et al. Combining the absorptive and radiative loss in metasurface for multi-spectral shaping of the electromagnetic scattering. Scientific Reports, 2016, vol. 6, p. 21462. DOI: 10.1038/srep21462
  9. EDALATI, A., SARABANDI, K. Wideband, wide angle, polarization independent RCS reduction using nonabsorptive miniaturized-element frequency selective surfaces. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 2, p. 747–753. DOI: 10.1109/TAP.2013.2291236
  10. LI, S., GAO, J., CAO, X., et al. Loading metamaterial perfect absorber method for radar cross section reduction based on the surface current distribution of guidewave slot array antennas. IET Microwaves, Antennas and Propagation, 2015, vol. 9, no. 5, p. 399–406. DOI: 10.1049/iet-map.2014.0490
  11. LI, S., GAO, J., CAO, X., et al. Multiband and broadband polarization-insensitive perfect absorber devices based on a tunable and thin double split-ring metamaterial. Optics Express, 2015, vol. 23, no. 3, p. 3523–3533. DOI: 10.1364/OE.23.003523
  12. LI, Y.-Q., ZHANG, H., FU, Y.-Q., YUAN, N.-C. RCS reduction of ridged waveguide slot antenna array using EBG radar absorbing material. IEEE Antennas and Wireless Propagation Letters, 2008, vol. 7, no. 1, p. 473–476. DOI: 10.1109/LAWP.2008.2001548
  13. LI, S., GAO, J., CAO, X., et al. Broadband and high-isolation dual-polarized microstrip antenna with low radar cross section. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 1413–1416. DOI: 10.1109/LAWP.2014.2339933
  14. ZHANG, C., CAO, X., GAO, J., et al. Low RCS and broadband ME dipole antenna loading artificial magnetic conductor structures. Radio Engineering, 2017, vol. 26, no. 1, p. 38–44. DOI: 10.13164/re. 2017.0038
  15. TAN, Y., YAN, N., YANG, Y., et al. Improved RCS and efficient waveguide slot antenna, Electronics Letters, 2011, vol. 47, no. 10, p. 582–583. DOI: 10.1049/el.2011.0842
  16. IRIARTE GALARREGUI, J. C., TELLECHEA PEREDA, A., MARTINEZ DE FALCON, J. L., et al. Broadband radar crosssection reduction using AMC technology. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 12, p. 6136–6143. DOI: 10.1109/TAP.2013.2282915
  17. LIU, Y., LI, K., JIA, Y., et al. Wideband RCS reduction of a slot array antenna using polarization conversion metasurfaces. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 1, p. 326–331. DOI: 10.1109/TAP.2015.2497352
  18. YIN, J. Y., WAN, X., ZHANG, Q., et al. Ultra-wideband polarization-selective conversions of electromagnetic waves by metasurface under large-range incident angles. Scientific Reports, 2015, vol. 5, p. 12476. DOI: 10.1038/srep12476
  19. GAO, X., HAN, X., CAO, W. P., et al. Ultra-wideband and highefficiency linear polarization converter based on double v-shaped metasurface. IEEE Transaction on Antennas and Propagation, 2015, vol. 63, no. 8, p. 3522–3530. DOI:10.1109/TAP.2015.3434 392
  20. MA, H. F., SHEN, X., CHENG, Q., et al. Broadband and highefficiency conversion from guided waves to spoof surface plasmon polaritons. Laser and Photonics Reviews, 2014, vol. 8, no. 1, p. 146–151. DOI: 10.1002/lpor.201300118
  21. VASA, P., WANG, W., POMRAENKE, R., et.al. Optical stark effects in-aggregate-metal hybrid nanostructures exhibiting a strong exciton-surface-plasmon-polariton interaction. Physical Review Letters, 2015, vol. 114, no. 3, p. 036802. DOI: 10.1103/PhysRevLett.114.036802
  22. WAN, X, LI, Y. B., CAI, B. G., et al. Simultaneous controls of surface waves and propagating waves by metasurfaces. Applied Physics Letters, 2014, vol. 105, no. 12, p. 121603. DOI: 10.1063/1.4896540
  23. LI, S.-J., GAO, J., CAO, X.-Y., et al. Hybrid metamaterial device with wideband absorption and multiband transmission based on spoof surface plasmon polaritons and perfect absorber. Applied Physics Letters, 2015, vol. 106, no. 18, p. 181103. DOI: 10.1063/1.4919789

Keywords: Ultra-broadband, radar cross section reduction, metasurface antenna, spoof surface plasmon polariton

L.R.Ji-Di, X.Y. Cao, Y. Tang, S.M. Wang, Y. Zhao, X.W. Zhu [references] [full-text] [DOI: 10.13164/re.2018.0394] [Download Citations]
A New Coding Metasurface for Wideband RCS Reduction

In this paper, two novel artificial magnetic conductors (AMC) structures are designed to realize 180 degrees of phase difference in a wideband frequency. These two AMC structures are encoded as unit “0”and unit “1”, respectively. By using Simulated Annealing algorithm, the coding sequences of the coding metasurface can be designed, so that the radar cross section (RCS) reduction can be realized as well. Compared with the metallic surface, the simulation and measurement results of this presented coding metasurface indicate that this coding metasurface can significantly realize RCS reduction under normally incident electromagnetic (EM) waves from 7GHz to 20GHz, which is 96.3%, and the RCS under obliquely incident waves also can be dramatically reduced as well, furthermore, the RCS reduction of this coding metasurface is better than that of traditional chessboard surface.

  1. SHELBY, R. A., SMITH, D. R., SCHULTZ, S. Experimental verification of a negative index of refraction. Science, 2001, vol. 292, no. 6, p. 77–79. DOI: 10.1126/science.1058847
  2. GLYBOVSKI, S. B., TRETYAKOV, S. A., BELOV, P. A., et al. Metasurfaces: From microwaves to visible. Physics Reports, 2016, vol. 634, p. 1-72. DOI: 10.1016/j.physrep.2016.04.004
  3. EPSTEIN, A., ELEFTHERIADES, G. V. Huygens' metasurfaces via the equivalence principle: design and applications. Journal of the Optical Society of America B, 2015, vol. 33, no. 2, p. A31–A50. DOI: 10.1364/JOSAB.33.000A31
  4. YU, N. F., GENEVET, P., KATS, M. A., et al. Light propagation with phase discontinuities: Generalized laws of reflection and refraction. Science, 2011, vol. 334, no. 6054, p. 333–337. DOI: 10.1126/science.1210713
  5. RADI, Y., ASADCHY, V. S., TRETYAKOV, S. A. Tailoring reflections from thin composite metamirrors. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 7, p. 3749–3760. DOI: 10.1109/TAP.2014.2319851
  6. PFEIFFER, C., GRBIC, A. Metamaterial Huygens' surfaces: Tailoring wave fronts with reflectionless sheets. Physical Review Letters, 2013, vol. 110, no. 19. DOI: 10.1103/PhysRevLett.110.197401
  7. LI, S. J., CAO, X. Y, XU, L. M., et al. Ultra-broadband Reflective Metamaterial with RCS Reduction based on Polarization Convertor, Information Entropy Theory and Genetic Optimization Algorithm. Scientific Reports, 2016, vol. 6, p. 37409. DOI: 10.1038/srep37409
  8. TRETYAKOV, S. A. Metasurfaces for general transformations of electromagnetic fields. Philosophical Transactions of the Royal Society A, 2015, vol. 373. DOI: 10.1098/rsta.2014.0362
  9. LANDY, N. I., S. SAJUYIGBE, J. J. MOCK, et al. A perfect metamaterial absorber. Physical Review Letters, 2008, vol. 100, no. 20. DOI: 10.1103/PhysRevlett.100.207402
  10. SU, P., ZHAO, Y. J., JIA, S. L., et al. An ultra-wideband and polarization-independent metamaterial for RCS reduction. Scientific Report, 2016, vol. 6. DOI: 10.1038/srep20387
  11. CHEN, W. G., BALANIS, C. A., BIRTCHER, C. R. Checkerboard EBG surfaces for wideband radar cross section reduction. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 6, p. 2636–2645. DOI: 10.1109/TAP.2015.2414440
  12. CHEN, W. G., BALANIS, C. A., BIRTCHER, C. R. Dual wideband checkerboard surfaces for radar cross section reduction. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 9, p. 4133–4138. DOI: 10.1109/TAP.2016.2583505
  13. ESMAELI, S. H., SEDIGHY, S. H. Wideband radar cross-section reduction by AMC. Electronics Letters, 2016, vol. 52, no. 1, p. 70–71. DOI: 10.1049/el.2015.3515
  14. PANQUAY, M., IRIARTE, J. C., EDERRA, I., et al. Thin AMC structure for radar cross-section reduction. IEEE Transactions on Antennas and Propagation, 2007, vol. 55, no. 12, p. 3630–3638. DOI: 10.1109/TAP.2007.910306
  15. LIU, S., CUI, T, J., XU, Q. Anisotropic coding metamaterials and their powerful manipulation of differently polarized terahertz waves. Light: Science & Applications, 2016, vol. 5, e16076. DOI: 10.1038/lsa.2016.76
  16. LIU, S., CUI, T. J. Flexible controls of terahertz waves using coding and programmable metasurfaces. IEEE Journal of Selected Topics in Quantum Electronics, 2017, vol. 23, no. 4, p. 1–12. DOI: 10.1109/JSTQE.2016.2599273
  17. CUI, T. J. Information entropy of coding metasurface. Light: Science & Applications, 2016, vol. 5, e16172. DOI: 10.1038/lsa.2016.172.
  18. LIU, S., NOOR, A., DU, L. L., et al. Anomalous refraction and nondiffractive Bessel-beam generation of terahertz waves through transmission-type coding metasurfaces. ACS Photonics, 2016, vol. 3, no. 10, p. 1968–1977. DOI: 10.1021/acsphotonics.6b00515
  19. LIU, S., ZHANG, L., YANG, Q. L., et al. Frequency-dependent dual-functional coding metasurfaces at terahertz frequencies. Advanced Optical Materials, 2016. DOI: 10.1002/adom.201600471
  20. ZHAO, Y., CAO, X. Y., GAO, J., et al. Broadband diffusion metasurface based on a single anisotropic element and optimized by the Simulated Annealing algorithm. Scientific Reports, 2016, vol. 6. DOI: 10.1038/srep23896
  21. BALANIS, C. A. Antenna Theory: Analysis and Design. 3rd ed. Hoboken (NJ, USA): Wiley, 2005. ISBN: 978-0471667827

Keywords: Coding metasurface, wideband, RCS reduction

T. Song, L. L. Cong, C. M. Tong [references] [full-text] [DOI: 10.13164/re.2018.0402] [Download Citations]
Ultra-Wideband Robust RCS Reduction with Triangle-type AMC Structure

A novel planar artificial magnetic conductor (AMC) structure is presented for ultra-wideband radar cross section reduction (RCSR). The structure consists of three different AMC tiles in a quadruple triangle-type chessboard configuration. By precise design, a 180°±30° reflection phase difference between every two of the three units nearly covers from 3.98GHz to 18.84GHz to broaden the RCSR bandwidth. By this means, the scattered energy is redirected into several lobes and the measured RCSR for over -6dB is achieved nearly from 3.62GHz to 18GHz with a relative bandwidth of 133.02% for both polarizations. Both full-wave simulation and measurement results verify the capability and potentiality of the proposed design for ultra-wideband RCSR.

  1. PU, M. B., ZHAO, Z. Y., WANG, Y. Q., et al. Spatially and spectrally engineered spin-orbit interaction for achromatic virtual shaping. Scientific Reports, 2015, vol. 5, no. 9822, p. 1–6. DOI: 10.1038/srep09822
  2. WANG, K., ZHAO, J., CHENG, Q., et al. Broadband and broadangle low-scattering metasurface based on hybrid optimization algorithm. Scientific Reports, 2014, vol. 4, no. 5935, p. 1–5. DOI: 10.1038/srep05935
  3. GOMORY, F., SOLOVYOV, M., SOUC, J., et al. Experimental realization of a magnetic cloak. Science, 2012, vol. 335, p. 1466–1468. DOI: 10.1126/science.1218316
  4. DONG, D. S., YANG, J., CHENG, Q., et al. Terahertz broadband low-reflection metasurface by controlling phase distributions. Advanced Optical Materials, 2015, vol. 156, p. 1–6. DOI: 10.1002/adom.201500156
  5. LIU, X., GAO, J., XU, L. M., et al. A coding diffuse metasurface for RCS reduction. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 724–727. DOI: 10.1109/LAWP.2016.2601108
  6. ZHAO, Y., CAO, X. Y., GAO, J., et al. Broadband radar absorbing material based on orthogonal arrangement of CSRR etched artificial magnetic conductor. Microwave and Optical Technology Letters, 2014, vol. 56, no. 1, p. 158–161. DOI: 10.1002/mop.28033
  7. HUANG, C., PAN, W. B., MA, X. L., et al. Multi-spectral metasurface for different functional control of reflection waves. Scientific Reports, 2016, vol. 6, no. 23291, p. 1–7. DOI: 10.1038/srep23291
  8. MIGHANI, M., DADASHZADEH G. Broadband RCS reduction using a novel double layer chessboard AMC surface. Electronics Letters, 2016, vol. 52, no. 14, p. 1253–1255. DOI: 10.1049/el.2016.1214
  9. ZHAO, Y., CAO, X. Y., GAO, J., et al. A low-RCS and high-gain slot antenna using broadband metasurface. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 290–293. DOI: 10.1109/LAWP.2015.2442257
  10. PAQUAY, M., IRIARTE, J. C., EDERRA, I., et al. Thin AMC structure for radar cross-section reduction. IEEE Transactions on Antennas and Propagation, 2007, vol. 55, no. 12, p. 3630–3638. DOI: 10.1109/TAP.2007.910306
  11. SIMMS, S., FUSCO, V. Chessboard reflector for RCS reduction. Electronics Letters, 2008, vol. 44, no. 4, p. 316–317. DOI: 10.1049/el:20083368
  12. MONORCHIO, A., MANARA, G., LANUZZA, L. Synthesis of artificial magnetic conductors by using multilayered frequency selective surfaces. IEEE Antennas and Wireless Propagation Letters, 2002, vol. 1, no. 1, p. 196–199. DOI: 10.1109/LAWP.2002.807956
  13. DE COS, M. E., LAS-HERAS, F., FRANCO, M. Design of planar artificial magnetic conductor ground plane using frequencyselective surfaces for frequencies below 1 GHz. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 951–954. DOI: 10.1109/LAWP.2009.2029133
  14. CHEN, W. G., BALANIS, C. A., BIRTCHER, C. R. Dual wideband checkerboard surfaces for radar cross section reduction. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 9, p. 4133–4138. DOI: 10.1109/TAP.2016.2583505
  15. SAADAT, S., ADNAN, M., MOSALLAEI, H., et al. Composite metamaterial and metasurface integrated with non-foster active circuit elements: a bandwidth-enhancement investigation. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 1210–1218. DOI: 10.1109/TAP.2012.2227654
  16. ZHANG, Y., MITTRA, R., WANG, B. Z., et al. AMCs for ultrathin and broadband RAM design. Electronics Letters, 2009, vol. 45, no. 10, p. 484–485. DOI: 10.1049/el.2009.3161
  17. ZHENG, Y. J., GAO, J., CAO, X. Y., et al. Wideband RCS reduction of a microstrip antenna using artificial magnetic conductor structures. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1582–1585. DOI: 10.1109/LAWP.2015.2413456
  18. CHEN, W. G., BALANIS, C. A., BIRTCHER, C. R. Checkerboard EBG surfaces for wideband radar cross section reduction. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 6, p. 2636–2645. DOI: 10.1109/TAP.2015.2414440
  19. IRIARTE, J. C., PEREDA, A. T., MARTINEZ DE FALCON, J. L., et al. Broadband radar cross-section reduction using AMC technology. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 12, p. 6136–6143. DOI: 10.1109/TAP.2013.2282915
  20. ESMAELI, S. H., SEDIGHY, S. H. Wideband radar cross-section reduction by AMC. Electronics Letters, 2016, vol. 52, no. 1, p. 70–71. DOI: 10.1049/el.2015.3515
  21. EDALATI, A., SARABANDI, K. Wideband, wide angle, polarization independent RCS reduction using nonabsorptive miniaturized-element frequency selective surfaces. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 2, p. 747–754. DOI: 10.1109/TAP.2013.2291236
  22. HONG, T., DONG, H., WANG, J., et al. A novel combinatorial triangle-type AMC structure for RCS reduction. Microwave and Optical Technology Letters, 2015, vol. 57, no. 12, p. 2728–2732. DOI: 10.1002/mop29427
  23. LI, M., XIAO, S., BAI, Y. Y., et al. An ultrathin and broadband radar absorber using resistive FSS. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 748–751. DOI: 10.1109/LAWP.2012.2206361

Keywords: Ultra-wideband, artificial magnetic conductor, polarization independent, radar cross section reduction, quadruple triangle chessboard

R. Agrawal, P. Belwal, S. C. Gupta [references] [full-text] [DOI: 10.13164/re.2018.0409] [Download Citations]
Asymmetric Substrate Integrated Waveguide Leaky Wave Antenna with Open Stop Band Suppression and Radiation Efficiency Equalization Through Broadside

A planar asymmetric substrate integrated wave¬guide leaky wave antenna is proposed with open stop band suppression and radiation efficiency equalization through broadside for the Ku-band. The stop-band behavior exhib¬ited at broadside in the 1-D periodic structure is signifi¬cantly reduced using reflection cancellation technique by placing the two slots at a quarter distance within the unit cell. Furthermore, asymmetric technique is applied. The asymmetry is introduced with respect to both axial and transversal axis of the structure so as to match the at-broadside Bloch impedance and off-broadside Bloch im¬pedance. This provides total open stop band suppression and radiation efficiency improvement as well as equaliza-tion through broadside. The problem is analyzed with the help of Bloch impedance behavior. For illustration of the above techniques; single slot, double slot and asymmetric designs are developed for the proposed leaky wave an¬tenna. The final asymmetric design after optimization is fabricated. Measured results are almost consistent with the simulation results with complete suppression of open stop band, efficiency improvement and equalization through broadside providing continuous beam scanning from –32° to +27° with constant gain of ~12.5 dBi.

  1. JACKSON, D. R., CALOZ, C., ITOH, T. Leaky-wave antennas. Proceedings IEEE, 2012, vol. 100, no. 7, p. 2194–2206. DOI: 10.1109/JPROC.2012.2187410
  2. OLINER, A., JACKSON, D. R. Leaky-wave antennas. In Antenna Engineering Handbook. Ed. J. L. Volakis. New York: McGrawHill, 2007. DOI: 10.1002/9780470294154.ch7
  3. LIU, J., TANG, X., LI, Y., et.al. Substrate integrated waveguide leaky-wave antenna with H-shaped slots. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 8, p. 3962–3967. DOI: 10.1109/TAP.2012.2201085
  4. NASIMUDDIN, N., CHEN, Z. N., QING, X. Substrate integrated metamaterial-based leaky-wave antenna with improved boresight radiation bandwidth. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 7, p. 3451–3457. DOI: 10.1109/TAP.2013.2256094
  5. LIU, J., JACKSON, D. R., LONG, Y. Substrate integrated waveguide (SIW) leaky-wave antenna with transverse slots. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 1, p. 20–29. DOI: 10.1109/TAP.2011.2167910
  6. HENRY, R., OKONIEWSKI, M. A broadside scanning substrate integrated waveguide periodic phase-reversal leaky-wave antenna. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 602–605. DOI: 10.1109/LAWP.2015.2462733
  7. HESSEL, A., COLLIN, R. E., ZUCKER, R. F. (Eds.) Antenna Theory, Part II. New York: McGraw-Hill, 1969, ch. 19. ISBN-13: 978-0070118003
  8. JACKSON, D. R., OLINER, A. Leaky wave antennas. In Modern Antenna Handbook. Ed. C. A. Balanis, ch. 7. Wiley-Interscience, 2008. ISBN: 978-0-470-03634-1
  9. GUGLIELMI, M., JACKSON, D. R. Broadside radiation from periodic leaky-wave antennas. IEEE Transactions on Antennas and Propagation, 1993. vol. 41, no. 1, p. 31–37. DOI: 10.1109/8.210112
  10. LEE, J. H., HIRONO, T., HIROKAWA, J., et.al. A center-feed waveguide transverse slot linear array using a transverse-slot feed for blocking reduction. In IEEE Antennas Propagation Society APS International Symposium. San Diego (CA, USA), 2008, p. 1–4. DOI: 10.1109/APS.2008.4619808
  11. PAULOTTO, S., BACCARELLI, P., FREZZA, F., et.al. A novel technique for open-stopband suppression in 1-D periodic printed leaky-wave antennas. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 7, p. 1894–1906. DOI: 10.1109/TAP.2009.2019900
  12. OTTO, S., AL-BASSAM, A., RENNING, A., et.al. Transversal asymmetry in periodic leaky-wave antennas for Bloch impedance and radiation efficiency equalization through broadside. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 10, p. 5037–5054. DOI: 10.1109/TAP.2014.2343621
  13. TANG, X. L., ZHANG, Q., HU, S., ZHUANG, Y., et al. Continuous beam steering through broadside using asymmetrically modulated Goubau line leaky-wave antennas, Scientific Reports, 2017, vol. 7, p. 1–8. DOI: 10.1038/s41598-017-12118-8
  14. CALOZ, C., ITOH, T. Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications: The Engineering Approach. Hoboken, NJ: Wiley, 2006. ISBN: 978-0- 471-66985-2
  15. CALOZ, C., LAI, A., ITOH, T. The challenge of homogenization in metamaterials. New Journal of Physics, 2005, vol. 7, art. no. 167, 15 p. DOI: 10.1088/1367-2630/7/1/167
  16. MALLAHZADEH, A., MOHAMMAD-ALI-NEZHAD, S. Long slot ridged SIW leaky wave antenna design using transverse equivalent technique. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 11, p. 5445–5452. DOI: 10.1109/TAP.2014.2353673
  17. MALLAHZADEH, A., MOHAMMAD-ALI-NEZHAD, S. Periodic ridged leaky-wave antenna design based on SIW technology. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 354–357. DOI: 10.1109/LAWP.2014.2361175
  18. DONG, X., WANG, H., XEU, F., et.al. Design and measurement of a novel seamless scanning leaky wave antenna in ridge gap waveguide technology. Progress In Electromagnetics Research M, 2017, vol. 58, p. 147–157. DOI: 10.2528/PIERM17051801
  19. LYU, Y., LIU, X., WANG, P., et al. Leaky-wave antennas based on non-cutoff substrate integrated waveguide supporting beam scanning from backward to forward. IEEE Transactions on Antennas and Propagation, 2016, vol. 16, no. 6, p. 2155–2164. DOI: 10.1109/TAP.2016.2550054
  20. LYU, Y., LIU, X., WANG, P., et al. Periodic SIW leaky-wave antenna with large circularly polarized beam scanning range. IEEE Transactions on Antennas and Propagation, 2017, vol. 64, p. 2493–2496. DOI: 10.1109/LAWP.2017.2726089
  21. XU, F., WU, K. Guided-wave and leakage characteristics of substrate integrated waveguide. IEEE Transactions on Microwave Theory and Technique, 2005, vol. 53, no. 1, p. 66–73. DOI: 10.1109/TMTT.2004.839303
  22. PAULOTTO, S., BACCARELLI, P., FREZZA, F. et al. Full-wave modal dispersion analysis and broadside optimization for a class of microstrip. IEEE Transactions on Microwave Theory and Technique, 2008, vol. 56, no. 12, p. 2826–2837. DOI: 10.1109/TMTT.2008.2007333
  23. OTTO, S., RENNINGS, A., SOLBACH, K., et al. Transmission line modeling and asymptotic formulas for periodic leaky-wave antennas scanning through broadside. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 10, p. 2493–2496. DOI: 10.1109/TAP.2011.2163781

Keywords: Leaky wave antenna, substrate integrated waveguide, open stop band, reflection cancellation, asymmetry, efficiency equalization, broadside

As. Abdipour, Ar. Abdipour, A. Khosravi [references] [full-text] [DOI: 10.13164/re.2018.0417] [Download Citations]
A Compact Microstrip Lowpass Filter with Ultra-Wide Rejection Band and Sharp Transition Band Utilizing Combined Resonators with Triangular Patches

In this paper, a microstrip lowpass filter with -3 dB cut-off frequency of 1.8 GHz consisting of two resonators with different triangular patches and four high-low impedance resonators as suppressing cells has been designed. To design this filter, the influence of each transmission line on the frequency response of the utilized resonators has been clarified by calculating the equations of S21 and S11 based on the lumped circuit of them separately. The designed filter has been constructed and tested, and an good agreement between the simulation and measurement results has been achieved. The stopband covers an ultra-wide frequency range from 1.94 to 34.556 GHz with a suppression level of -21.2 dB. Furthermore, the insertion loss in the passband region is close to zero from DC to 1.68 GHz and an acceptable return loss (17.44 dB) in this band can prove desired in-band character. Moreover, the proposed filter provides a sharp transition band equal to 228 (dB/GHz). The designed lowpass filter has a high figure of merit equal to 26583.4.

  1. WANG, J., XU, L. J., ZHAO, S., GUO, Y. X., WU, W. Compact quasi-elliptic microstrip lowpass filter with wide stopband. Electronics Letters, 2010, vol. 46, no. 20, p. 1384–1385. DOI: 10.1049/el.2010.1569
  2. LUO, S., ZHU, L., SUN, S. Stopband-expanded low-pass filters using microstrip coupled-line hairpin units. IEEE Microwave and Wireless Components Letters, 2008, vol. 18, no. 8, p. 506–508. DOI: 10.1109/LMWC.2008.2001004
  3. WEI, X. B., WANG, P., LIU, M. Q., SHI, Y. Compact widestopband lowpass filter using stepped impedance hairpin resonator with radial stubs. Electronics Letters, 2011, vol. 47, no. 15, p. 862–863. DOI: 10.1049/el.2011.1414
  4. LI, L., LI, F. Z., MAO, F. J. Compact lowpass filters with sharpand expanded stopband using stepped impedance hairpin units. IEEE Microwave and Wireless Components Letters, 2010, vol. 20, no. 6, p. 310–312. DOI: 10.1109/LMWC.2010.2047457
  5. VELIDI, V. K., SANYAL, S. Sharp roll-off lowpass filter with wide stopband using stub-loaded coupled-line hairpin unit. IEEE Microwave and Wireless Components Letters, 2011, vol. 21, no. 6, p. 301–303. DOI: 10.1109/LMWC.2011.2132120
  6. MANDAL, M. K., MANDAL, P., SANYAL, S., CHAKRABARTY, A. Low insertion-loss, sharp rejection and compact microstrip lowpass filter. IEEE Microwave and Wireless Components Letters, 2006, vol. 16, no. 11, p. 600–602. DOI: 10.1109/LMWC.2006.884777
  7. GOMEZ-GARCIA, R., SANCHEZ-SORIANO, M. A., SANCHEZ RENEDO, M., et al. Extended-stopband microstrip lowpass filter using rat-race directional couplers. Electronics Letters, 2013, vol. 49, no. 4, p. 272–274. DOI: 10.1049/el.2012.4245
  8. WANG, C. J., LIN, C. H. Compact lowpass filter with sharp transition knee by utilising a quasi-ߨ-slot resonator and open stubs. IET Microwaves Antennas and Propagation, 2010, vol. 4, no. 4, p. 512–517. DOI: 10.1049/iet-map.2009.0001
  9. WANG, J., CUI, H., ZHANG, G. Design of compact microstrip lowpass filter with ultra-wide stopband. Electronics Letters, 2012, vol. 48, no. 14, p. 854–856. DOI: 10.1049/el.2012.1362
  10. WEI, F., CHEN, L., SHI, X. W., HUANG, Q. L., WANG, X. H. Compact lowpass filter with wide stop-band using coupled-line hairpin unit. Electronics Letters, 2010, vol. 46, no. 1, p. 88–90. DOI: 10.1049/el.2010.2411
  11. MA, K., YEO, K. S. New ultra-wide stopband low-pass filter using transformed radial stubs. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 3, p. 604–611. DOI: 10.1109/TMTT.2010.2095031
  12. ABDIPOUR, AS., ABDIPOUR, AR., LOTFI, S. A lowpass filter with sharp roll–off and high relative stopband bandwidth using asymmetric high-low impedance patches. Radioengineering, 2015, vol. 24, no. 3, p. 712–716. DOI: 10.13164/re.2015.0712
  13. NOURITABAR, A. R., ABDIPOUR, AS., ABDIPOUR, AR. A design of low-pass filter with wide stopband and sharp roll-off rate using series LC tanks resonator. Applied Computational Electromagnetics Society (ACES) Journal, 2016, vol. 31, no. 11, p. 1343–1350. ISSN: 1054-4887
  14. ABDIPOUR, AR., ABDIPOUR, AS. Compact microstrip lowpass filter with an ultra-wide stopband and sharp transition band using T-shaped and polygon resonators. Progress In Electromagnetics Research C, 2017, vol. 74, p. 51–61. DOI: 10.2528/PIERC16121904
  15. ABDIPOUR, AR., ABDIPOUR, AS., LORESTANI, F. A compact microstrip lowpass filter with sharp roll-off rate and ultra-wide stopband employing coupled polygon patches. Progress In Electromagnetics Research C, 2017, vol. 76, p. 171–186. DOI: 10.2528/PIERC17043003
  16. HONG, J. S., LANCASTER, M. J. Microstrip Filters for RF/Microwave Applications. 1st ed. John Wiley & Sons, Inc., 2001. ISBN 0-471-22161-9. DOI:10.1002/0471221619

Keywords: Microstrip lowpass filter, insertion loss, return loss, triangular patches

Gh. Karimi, A. Golestanifar, A. Ghaderi , N. Salimpour [references] [full-text] [DOI: 10.13164/re.2018.0425] [Download Citations]
Ultra Sharp Transition-Band LPF with Miniaturized Size for GSM Applications

In this paper, a microstrip low-pass filter (LPF) using a bended transmission line is presented. The LPF has a simple topology, which is composed of a T-shaped stub as main resonator, one conventional rectangular resonator (as suppressing stub) and two T-shaped stubs. To approach a high operation and small dimensions, this structure is bent. The proposed LPF has a compact size (0.090 lambda_g × 0.119 lambdag) and presents an ultra sharp transition-band (ksi = 880.95 dB/GHz) from 0.945 to 0.987 GHz with –3 and –40 dB suppression levels, respectively. This filter, with 3- dB cut-off frequency (fc) of 0.945 GHz, is useful for GSM applications. The performance of each section is investigated based on LC equivalent circuit. The designed filter is fabricated and measured. Also, the results exhibit an excellent figure of merit (FOM) of 239756.

  1. HONG, J.-S., LANCASTER, M. J. Microstrip Filters for RF/Microwave Applications. John Wiley & Sons, Inc., 2001. DOI: 10.1002/0471221619
  2. KARIMI, G., SIAHKAMARI, H., HAMEDANI, F. K., et al. Design of modified Z-shaped and T-shaped microstrip filter based on transfer function analysis. Wireless Personal Communications, 2015, vol. 82, no. 4, p. 2005–2016. DOI: 10.1007/s11277-015- 2328-z
  3. ZHANG, Y., JIN, L., LI, L. Design of LPF using Hi-Lo interdigital DGS slot. IEICE Electronics Express, 2016, vol. 13, no. 9, p. 1–6. DOI: 10.1587/elex.13.20160175
  4. YANG, R. Y., LIN, Y. L., HUNG, C. Y., et al. Design of a compact and sharp-rejection low-pass filter with a wide stopband. Journal of Electromagnetic Waves and Applications, 2012, vol. 26, no. 17-18, p. 2284–2290. DOI: 10.1080/09205071.2012.733495
  5. RAPHIKA, P. M., ABDULLA, P., JASMINE, P. M. Planar elliptic function lowpass filter with sharp roll‐off and wide stopband. Microwave and Optical Technology Letters, 2016, vol. 58, no. 1, p. 133–136. DOI: 10.1002/mop.29520
  6. RAPHIKA, P. M., ABDULLA, P., JASMINE, P. M. Compact lowpass filter with a sharp roll‐off using patch resonators. Microwave and Optical Technology Letters, 2014, vol. 56, no. 11, p. 2534–2536. DOI: 10.1002/mop.28644
  7. ASADBEIGI, H., VIRDEE, B. S. Compact notch filter design using stepped impedance resonators for sharp roll‐off and large wideband rejection. International Journal of RF and Microwave Computer‐Aided Engineering, 2015, vol. 25, no. 6, p. 490–494. DOI: 10.1002/mmce.20883
  8. AFZALI, B., KARKHANEHCHI, M. M., KARIMI, G. Design of compact microstrip lowpass filter with ultra-wide stopband using modified T-shaped resonator. International Journal of Microwave and Wireless Technologies, 2015, vol. 7, no. 06, p. 699–703. DOI: 10.1017/S175907871400124X
  9. KUFA, M., RAIDA, Z. Lowpass filter with reduced fractal defected ground structure. Electronics Letters, 2013, vol. 49, no. 3, p. 199–201. DOI: 10.1049/el.2012.3473
  10. MOUSAVI, S. M. H., MAKKI, S. V. A. D., SIAHKAMARI, H. Design of microstrip lowpass filter using bend configuration with excellent sharpness in transition band. Frequenz, 2016, vol. 70, no. 5-6, p. 237–243. DOI: 10.1515/freq-2015-0096
  11. HAYATI, M., VAZIRI, H. S. Compact microstrip low-pass filter with wide stop-band and sharp roll-off. Frequenz, 2013, vol. 67, no. 9-10, p. 263–269. DOI: 10.1515/freq-2012-0151
  12. KUMAR, A., VERMA, A. K. Design of Bessel low-pass filter using DGS for RF/microwave applications. International Journal of Electronics, 2016, vol. 103, no. 9, p. 1460–1474. DOI: 10.1080/00207217.2015.1126860
  13. SUHAS, D., LAKSHMI, C. R., SRINIVASA RAO, Z., et al. A systematic implementation of elliptic low-pass filters using defected ground structures. Journal of Electromagnetic Waves and Applications, 2015, vol. 29, no. 15, p. 2014–2026. DOI: 10.1080/09205071.2015.1073635
  14. KUMAR, D., DE, A. Design of compact low pass microstrip filter for wireless communication system. Frequenz, 2011, vol. 65, no. 5-6, p. 127–130. DOI: 10.1515/freq.2011.022
  15. ZHANG, P., LI, M. A novel sharp roll‐off microstrip lowpass filter with improved stopband and compact size using dual‐plane structure. Microwave and Optical Technology Letters, 2016, vol. 58, no. 5, p. 1085–1088. DOI: 10.1002/mop.29753
  16. XIAO, M., SUN, G., LI, X. A lowpass filter with compact size and sharp roll-off. IEEE Microwave and Wireless Components Letters, 2015, vol. 25, no. 12, p. 790–792. DOI: 10.1109/LMWC.2015.2496801
  17. LIU, S., XU, J., XU, Z. Sharp roll-off lowpass filter using interdigital DGS slot. Electronics Letters, 2015, vol. 51, no. 17, p. 1343–1345. DOI. 10.1049/el.2015.0721
  18. DURAN-SINDREU, M., BONACHE, J., MARTIN, F. Compact elliptic-function coplanar waveguide low-pass filters using backside metallic patterns. IEEE Microwave and Wireless Components Letters, 2010, vol. 20, no. 11, p. 601–603. DOI: 10.1109/LMWC.2010.2066961
  19. WANG, J., XU, L. J., ZHAO, S., GUO, Y. X., WU, W. Compact quasi-elliptic microstrip lowpass filter with wide stopband. Electronics Letters, 2010, vol. 46, no. 20, p. 1384–1385. DOI: 10.1049/el.2010.1569

Keywords: Bandpass filter, T-shaped resonator, transmission zero, LC equivalent circuit

Z. Q. Nie, S. L. Wang, D. H. Chen, T. Deng [references] [full-text] [DOI: 10.13164/re.2018.0431] [Download Citations]
Seabed-Rock-Layer Electromagnetic Communication Channel Model with Low Path Loss Based on Evanescent Wave

The main limitation of the development of underwater wireless electromagnetic communication is severe attenuation introduced by the seawater characteristics of high permittivity and high conductivity. Fortunately, in previous studies, it was found through experiments that the loss between two underwater antennas near seawater surface or seabed is much smaller than the higher order severe attenuation for the line of sight (LOS) path in seawater. But no one has given reasonable explanation for this phenomenon. To solve this problem, we investigate the propagation mechanism of this phenomenon theoretically. The main component of seabed-rock-layer is basalt, an alternative seabed-rock-layer communication channel model based on evanescent wave generated by the total reflection on the seabed-rock-layer surface is proposed in this paper. Then we analyze the performance of this model according to Goos-Hanchen (GH) Shift of evanescent wave. Simulation results show that the path loss in this model is about 1/20 of that in seawater and the propagation velocity can be increased 20 times. Proposed technology is expected to become an important part of underwater high speed and reliable communication.

  1. RHODES, M. Electromagnetic propagation in sea water and its value in military systems. In SEAS DTC Technical Conference. 2007, p. 1-6.
  2. SOZER, E. M., STOJANOVIC, M., PROAKIS, J. G. Underwater acoustic networks. IEEE Journal of Oceanic Engineering, 2000, vol. 25, no. 1, p. 72–83. DOI: 10.1109/48.820738
  3. CHITRE, M., SHAHABUDEEN, S., STOJANOVIC, M. Underwater acoustic communications and networking: Recent advances and future challenges. Marine Technology Society Journal, 2008, vol. 42, no. 1, p. 103–116. DOI: 10.4031/002533208786861263
  4. COX JR, W. C. Simulation, Modeling, and Design of Underwater Optical Communication Systems. North Carolina State University, 2012. Available at: http://www.lib.ncsu.edu/resolver/1840.16/7588
  5. U. S. NAVY. Extremely low frequency transmitter site clam lake, Wisconsin. U. S. Navy Facts File, 2001. Available at: https://fas.org/nuke/guide/usa/c3i/fs_clam_lake_elf2003.pdf
  6. SHAW, A., Al-SHAMMA’A, A.I., WYLIE, S. R., et al. Experimental investigations of electromagnetic wave propagation in seawater. In European Microwave Conference. Manchester (UK), 2006, p. 572–575. DOI: 10.1109/EUMC.2006.281456
  7. AI-SHAMMA'A, A.I., SHAW, A., SAMAN, S. Propagation of electromagnetic waves at MHz frequencies through seawater. IEEE Transactions on Antennas and Propagation, 2004, vol. 52, no. 11, p. 2843–2849. DOI: 10.1109/TAP.2004.834449
  8. ABDOU, A. A., SHAW, A., MASON, A., et al. Electromagnetic (EM) wave propagation for the development of an underwater Wireless Sensor Network(WSM). IEEE Sensors, 2011, vol. 25, no. 35, p. 1571–1574. DOI: 10.1109/ICSENS.2011.6127319
  9. BUTLER, L. Underwater Radio Communication. Available at: http://users.tpg.com.au/users/ldbutler/Underwater_Communication .pdf
  10. GURU, B. S., HIZIROGLU, H. R. Electromagnetic Field Theory Fundamentals. 2nd ed., Cambridge University Press, 2004. ISBN: 9781139165297
  11. CHAKRABORTY, U., TEWARY, T., CHATTERJEE, R. P. Exploiting the loss-frequency relationship using RF communication in underwater communication networks. In International Conference on Computers and Devices for Communication. Kolkata (India), 2009, p.1–4.
  12. LI, J., TOULGOAT, M., DEZIEL, M., et al. Propagation modeling and MAC-layer performance in EM-based underwater sensor networks. In Proceedings of the 4th ACM International Symposium on Development and Analysis of Intelligent Vehicular Networks and Applications. Montreal (Canada), 2014, p. 111–117. DOI: 10.1145/2656346.2656359
  13. HUNT, K. P., NIEMEIER, J. J., KRUGER, A. RF communications in underwater wireless sensor networks. In IEEE International Conference on Electro/information Technology. Normal (IL, USA), 2010, p. 1–6. DOI: 10.1109/EIT.2010.5612087
  14. KELLEY, B., MANOJ, K., JAMSHIDI, M. Broadband RF communications in underwater environments using multi-carrier modulation. In Proceedings of the 2009 IEEE International Conference on Systems, Man and Cybernetics. San Antonio (USA), 2009, p. 2303–2308. DOI: 10.1109/ICSMC.2009.5345980
  15. KELLEY, B., NAISHADHAM, K. RF multicarrier signaling and antenna systems for low SNR broadband underwater communications. In IEEE Topical Conference on Biomedical Wireless Technologies, Networks, and Sensing Systems (BioWireleSS). Austin (USA), 2013, p. 240–242. DOI: 10.1109/BioWireleSS.2013.6613709
  16. MICHALSKI, K. A. Electromagnetic wave theory. Proceedings of the IEEE, 1987, vol. 75, no. 6, p. 862–863. DOI: 10.1109/PROC.1987.13818
  17. GOOS, F., HANCHEN, H. Ein neuer und fundamentaler Versuch zur Totalreflexion. Annalen Der Physik, 2010, vol. 436, no. 7, p. 333–346. DOI: 10.1002/andp.19474360704
  18. SNYDER, A. W., LOVE, J. D. Goos-Hanchen shift. Applied Optics, 1976, vol. 15, no. 1, p. 236–238. DOI: 10.1364/AO.15.000236
  19. RESCH, K. J., LUNDEEN, J. S., STEINBERG, A. M. Total reflection cannot occur with a negative delay time. IEEE Journal of Quantum Electronics, 2001, vol. 37, no. 6, p. 794–799. DOI: 10.1109/3.922777
  20. LIU, X. B., CAO, Z. Q., ZHU, P. F., et al. Simultaneously large and opposite lateral beam shifts for TE and TM modes on a double metal-cladding slab. Chinese Physics Letters, 2006, vol. 23, p. 2077–2079. DOI: 10.1088/0256-307X/23/8/032
  21. STUBER, G. L. Principles of Mobile Communication. Kluwer Academic Publishers, 1996. ISBN: 978-1-4757-6268-6
  22. WANG, W. C. Electromagnetic Wave Theory. 1986. Available at: http://depts.washington.edu/mictech/optics/me557/week2.pdf.
  23. SHI, J., ZHANG S., YANG, C. J. High frequency RF based noncontact underwater communication. In IEEE Oceans. Yeosu (South Korea), 2012, p. 1–6. DOI: 10.1109/OCEANSYeosu.2012.6263403

Keywords: Underwater electromagnetic communication, seabed-rock-layer, evanescent wave, low loss, high speed

T. Shabbir, R. Saleem, S. U. Rehman, M. F. Shafique [references] [full-text] [DOI: 10.13164/re.2018.0440] [Download Citations]
A Compact Single Layer Reflectarray Antenna Based on Circular Delay-Lines for X-band Applications

This paper presents a compact single layer reflectarray antenna based on a diagonally notched square patch and a pair of circular delay lines, for X-band applications. The length and width of circular delay-lines are varied and optimized to attain a linear phase range of more than 600º. The effect of incident angle in TE and TM modes at 0º, 15º and 30º is studied, which offers stable angular phase range. The hybrid Finite Element Boundary Integral (FEBI) method is used for simulation of the whole reflectarray system comprising of 27 × 27 elements and being fabricated on a low cost FR-4 laminate. The measured gain of 24.5 dBi with aperture efficiency of 49.5% is achieved at 10 GHz. The proposed design provides the measured 1-dB gain bandwidth of 12.5% and 3-dB gain bandwidth of 34%. The simulated and measured side-lobe-levels and cross polarizations are less than –25 dB and –40 dB respectively.

  1. SHAKER, J., CHAHARMIR, M. R., ETHIER, J. Reflectarray Antennas: Analysis, Design, Fabrication, and Measurement. London (UK): Artech House, 2013. ISBN: 9781608074990
  2. BERRY, D., MALECH, R., KENNEDY, W. The reflectarray antenna. IEEE Transactions on Antennas and Propagation, 1963, vol. 11, no. 6, p. 645–651. DOI: 10.1109/TAP.1963.1138112
  3. DAHRI, M. H., JAMALUDDIN, M. H., ABBASI, M. I., KAMARUDIN, M. R. A review of wideband reflectarray antennas for 5G communication systems. IEEE Access, 2017, vol. 5, p. 17803–17815. DOI: 10.1109/ACCESS.2017.2747844
  4. ROEDERER, G. L. Reflectarray antennas. In The 3rd European Conference on Antennas and Propagation. Berlin (Germany), 2009, p. 18–22.
  5. HAN, C., ZHANG, Y., YANG, Q. A broadband reflectarray antenna using triple gapped rings with attached phase-delay. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 5, p. 2713–2717. DOI: 10.1109/TAP.2017.2679493
  6. HAN, C., ZHANG, Y., YANG, Q. A novel single-layer unit structure for broadband reflectarray antenna. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 16, p. 681–684. DOI: 10.1109/LAWP.2016.2598733
  7. GUNEŞ, F., DEMIREL, S., NESİL, S. Minkowski reflectarray antennas using the full-wave EM simulation-based complete neural model with a hybrid GA-NM algorithm. Radioengineering, 2014, vol. 23, no. 1, p. 144–153. ISSN: 1805-9600.
  8. LEE, S. R., LIM, E. H., LO, F. L. Broadband single-layer E-patch reflectarray. Radioengineering, 2017, vol. 26, no. 1, p. 97–106. DOI: 10.13164/RE.2017.0097
  9. WU, W.-W., QU, S.-W., ZHANG, X.-Q. Single-layer reflectarray with novel elements for wideband applications. Microwave and Optical Technology Letters, 2014, vol. 56, no. 4, p. 950–954. DOI: 10.1002/mop.28208
  10. QOTOLO, S. F., HASSANI, H. R., NASER-MOGHADASI, M. A novel broadband reflectarray antenna with lattice stubs on square element for Ku-band application. Microwave and Optical Technology Letters, 2015, vol. 57, no. 11, p. 2699–2702. DOI: 10.1002/MOP.29416
  11. WU, J., DA, X., WU, K., et al. Circularly polarized high efficiency wideband reflectarray using rectangle-shaped patch element. International Journal of RF and Microwave Computer Aided Engineering, 2017, vol. 27, no. 5. DOI: 10.1002/mmce.21089
  12. NGUYEN, B. D., PHAM, K. T., TRAN, V. S., et al. Reflectarray element using cut-ring patch coupled to delay line. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 571–574. DOI: 10.1109/LAWP.2014.2372316
  13. DERAFSHI, I., KOMJANI, N., MOHAMMADIRAD, M. A single-layer broadband reflectarray antenna by using quasispiral phase delay line. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 84–87. DOI: 10.1109/LAWP.2014.2355496
  14. ALMAJALI, E. R. F., MCNAMARA, D. A. Angle of incidence effects in reflectarray antenna design: making gain increases possible by including incidence angle. IEEE Antennas and Propagation Magazine, 2016, vol. 58, no. 5, p. 52–64. DOI: 10.1109/MAP.2016.2594699
  15. CHEN, X., CHEN, O., FENG, P., et al. Efficient design of the microstrip reflectarray antenna by optimizing the reflection phase curve. International Journal of Antennas and Propagation, 2016, vol. 2016, 8 p. DOI: 10.1155/2016/8764967
  16. BALANIS. C. A. Antenna Theory: Analysis and Design. 3rd ed. New Jersey (U.S): John Wiley & Sons Inc., 2005. ISBN: 047166782X
  17. ZHAO, J.-J., GONG, S.-X., XU, Y.-X., et al. Design of a broadband reflectarray using meander-shaped elements reflectarray with novel elements for wideband applications. Microwave and Optical Technology Letters, 2012, vol. 54, no. 2, p. 500–503. DOI: 10.1002/mop.26563
  18. SILVESTRO, J. Hybrid Finite Element Boundary Integral Method. White Paper, 2010, Ansys, Inc., Canonsburg, PA, USA.

Keywords: Reflectarray antenna, high gain, circular delay-lines, phase range, TE and TM modes, single layer

S. Kundu, A. Chatterjee, S. K. Jana, S. K. Parui [references] [full-text] [DOI: 10.13164/re.2018.0448] [Download Citations]
A Compact Umbrella-Shaped UWB Antenna with Gain Augmentation Using Frequency Selective Surface

A compact (35 mm × 30 mm × 0.8 mm) co-planar waveguide fed ultra-wideband antenna with bended ground plane suitable for GPR applications is proposed in this article. The umbrella shaped radiating element is constructed using the intersection of two ellipses. The proposed antenna provides a wide impedance bandwidth of 10.35 GHz (3.05–13.4 GHz) covering the unlicensed UWB band. The simply structured antenna is easy to fabricate and to integrate in PCB board. A frequency selective surface (FSS) with two layers, each of 4×4 array, cascaded via air gap, is incorporated in the antenna as a substrate to enhance the gain by 2 to 4 dBi over the entire frequency band. Metamaterial inspired unit cells are chosen for the FSS layers, with unit cell dimension on the order of λ/10 with respect to 3 GHz, much less than λ/4. The spacing between the antenna and FSS is kept so as to enhance the gain value without hampering nearly flat gain response over the band. The gain is maintained between 5.5–8.5 dBi over the band. The antenna was investigated by comparing the simulated and measured fundamental antenna parameters. High radiation efficiency of more than 90% with non-varying group delay and nearly omnidirectional H-plane radiation pattern were achieved. Measurement results validated the antenna performance and gain enhancement due to the addition of FSS layers.

  1. RAIDA, Z., KOLKA, Z., MARSALEK, R., et al. Communication subsystems for emerging wireless technologies. Radioengineering, 2012, vol. 21, no. 4, p. 1036–1049. ISSN: 1210-2512
  2. TATSIS, G., VOTIS, C., RAPTIS, V., et al. Performance of UWB-impulse radio receiver based on matched filter implementation with imperfect channel estimation. In Proceedings of the 7thInternational Conference of the Balkan Physical Union, American Institute of Physics Conference Series. Alexandroupolis (Greece), 2009, vol. 1203, p. 573–578. DOI: 10.1063/1.3322512
  3. TATSIS, G., VOTIS, C., RAPTIS, V., et al. Design and implementation of ultra-wideband impulse radio transmitter. In Proceedings of the 7th International Conference of the Balkan Physical Union, American Institute of Physics Conference Series. Alexandroupolis (Greece), 2009, vol. 1203, p. 579–584. DOI: 10.1063/1.3322513
  4. TATSIS, G., CHRISTOFILAKIS, V., VOTIS, C., et al. BER performance of an ultra-wideband impulse radio correlator receiver. WSEAS Transactions on Information Science and Applications, 2011, vol. 8, no. 10, p. 401–406. DOI: 10.6084/m9.figshare.5096419
  5. FEDERAL COMMUNICATIONS COMMISSION, Washington, D.C. 20554. First Report and Order. 118 pages. [Online] Cited 2001-10-16. https://transition.fcc.gov/Bureaus/Engineering_Technology/Orders /2002/fcc02048.pdf
  6. LIANG, J., GUO, L., CHIAU, C. C., et al. Study of CPW-fed circular disk monopole antenna for ultra wideband applications. IEE Proceedings.-Microwaves, Antennas and Propagation, 2005, vol. 152, no. 6, p. 520–526.DOI: 10.1049/ip-map:20045179
  7. ABBOSH, M., BIALKOWSKI, M. E. Design of ultrawideband planar monopole antennas of circular and elliptical shape. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 1, p. 17–23. DOI: 10.1109/TAP.2007.912946
  8. SIDDIQUI, J. Y., SAHA, C., ANTAR, Y. M. M. Compact SRR loaded UWB circular monopole antenna with frequency notch characteristics. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 8, p. 4015–4020. DOI: 10.1109/TAP.2014.2327124
  9. LI, M., BIRKEN, R., SUN, N. X., WANG, M. L. Compact slot antenna with low dispersion for ground penetrating radar application. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 638–641. DOI: 10.1109/LAWP.2015.2465854
  10. IBRAHIM, A., ALI, W., MACHAC, J. UWB monopole antenna with band notched characteristics mitigating interference with WiMAX. Radioengineering, 2017, vol. 26, no. 2, p. 438–443. DOI:10.13164/re.2017.0438
  11. ALI, W., IBRAHIM, A. A., MACHAC, J. Compact size UWB monopole antenna with triple band-notches. Radioengineering, 2017, vol. 26, no. 1, p. 57–63. DOI: 10.13164/re.2017.0057
  12. SCHNEIDER, J., MRNKA, M., GAMEC, J., et al. Vivaldi antenna for RF energy harvesting. Radioengineering, 2016, vol. 25, no. 4, p. 666–671. DOI: 10.13164/re.2016.0666
  13. MISHRA, R., JAYASINGHE, J., MISHRA, R. G., KUCHHAL, P. Design and performance analysis of a rectangular microstrip line feed ultra-wide band antenna. International Journal of Signal Processing, Image Processing and Pattern Recognition, 2016, vol. 9, no. 6, p. 419–426. DOI: 10.14257/ijsip.2016.9.6.36
  14. FERESIDIS, A. P., GOUSSETIS, G., WANG, S., VARDAXOGLOU, J. C. Artificial magnetic conductor surfaces and their application to low-profile high-gain planar antennas. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 1, p. 209–215. DOI: 10.1109/TAP.2004.840528
  15. CHEN, H. Y., TAO, Y. Performance improvement of a U-slot patch antenna using a dual-band frequency selective surface with modified Jerusalem cross elements. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 9, p. 3482–3486. DOI: 10.1109/TAP.2011.2161440
  16. YANG, W., WANG, H., CHE, W., WANG, J. A wideband and high-gain edge-fed patch antenna and array using artificial magnetic conductor structures. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 769–772. DOI: 10.1109/LAWP.2013.2270943
  17. CHATTERJEE, A., PARUI, S. K. Performance enhancement of a dual-band monopole antenna by using a frequency selective surface-based corner reflector. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 6, p. 2165–2171. DOI: 10.1109/TAP.2016.2552543
  18. CHATTERJEE, A., PARUI, S. K. Frequency-dependent directive radiation of monopole-dielectric resonator antenna using a conformal frequency selective surface. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 5, p. 2233–2239. DOI: 10.1109/TAP.2017.2677914
  19. RUENGWAREE, A., GHOSE, A., KOMPA, G. A novel rugbyball UWB antenna for near-range microwave radar system. IEEE Transactions on Microwave Theory and Technique, 2006, vol. 54, no. 6, p. 2774–2779. DOI: 10.1109/TMTT.2006.874892
  20. ZHANG, J. CAO, P., HUANG, Y., et al. Compact planar ultrawideband antenna with quintuple band-notched characteristics. IET Microwaves, Antennas and Propagation, 2015, vol. 9, no. 3, p. 206–216. DOI: 10.1049/iet-map.2014.0058
  21. COMPUTER SIMULATION TECHNOLOGY (CST), CST Microwave Studio. [Online] Cited 2015-10-26. Available at: https://www.cst.com/Products/CSTMWS. 2015
  22. https://www.rohde-schwarz.com/us/product/zvl13 productstartpage_63493-10575.html
  23. MURAMOTO, M., ISHII, N., ITOH, K. Radiation efficiency measurement of a small antenna using the Wheeler method. Electronics and Communications in Japan, Part I, 1996, vol. 79, no. 6, p. 93–100. DOI: 10.1002/ecja.4410790610
  24. GAO, S., SUN, S., XIAO, S. A novel wideband bandpass power divider with harmonic-suppressed ring resonator. IEEE Microwave and Wireless Components Letters, 2013, vol. 23, no. 3, p. 119–121. DOI: 10.1109/LMWC.2013.2244873
  25. MAJID, H. A., ABD RAHIM, M. K., MASRI, T. Microstrip antenna's gain enhancement using left-handed metamaterial structure. Progress In Electromagnetics Research M, 2009, vol. 8, p. 235–247. DOI: 10.2528/PIERM09071301
  26. BAYATPUR, F., SARABANDI, K. Miniaturized FSS and patch antenna array coupling for angle-independent, high-order spatial filtering. IEEE Microwave and Wireless Components Letters, 2010, vol. 20, no. 2, p. 79–81. DOI: 10.1109/LMWC.2009.2038517
  27. LI, Y., FENG, Q. A compact tri-band monopole antenna with metamaterial loaded for WLAN/WiMAX applications. Journal of Electromagnetic Waves and Applications, 2013, vol. 27, no. 6, p. 772–782. DOI: 10.1080/09205071.2013.786208
  28. KUNDU, S., JANA, S. K. A compact umbrella shaped UWB antenna for ground-coupling GPR applications. Microwave and Optical Technology Letters, 2018, vol. 60, no. 1, p. 146–151. DOI: 10.1002/mop.30928
  29. KUNDU, S., JANA, S. K. Leaf shaped CPW fed UWB antenna with triple notch bands for Ground Penetrating Radar applications. Microwave and Optical Technology Letters, 2018, vol. 60, no. 4, p. 930–936. DOI: 10.1002/mop.31075
  30. KUNDU, S., JANA, S. K. A leaf shaped CPW fed UWB antenna for GPR applications. Microwave and Optical Technology Letters, 2018, vol. 60, no. 4, p. 941–945. DOI: 10.1002/mop.31089

Keywords: Ultra Wide-Band (UWB), Co-Planar Waveguide (CPW), umbrella shaped radiator, Frequency Selective Surface (FSS), gain enhancement.

M. Du, J. Xu, X. Ding, J. P. Cao, J. H. Deng, Y. L. Dong [references] [full-text] [DOI: 10.13164/re.2018.0455] [Download Citations]
A Low-Profile Wideband LTCC Integrated Circularly Polarized Helical Antenna Array for Millimeter-Wave Applications

A low-profile wideband low-temperature co-fired ceramic integrated circularly polarized helical antenna array for millimeter-wave applications is presented. The major consideration of the antenna element design is to achieve good circular polarization and wide bandwidth when the helix has just about one turn which is beneficial for low profile. The turn is composed of 6×1/5-turn horizontal segments with straight-edge connections implemented by via holes. Then, a 2×2 helical antenna array with a dimension of 25×15×1.316 (0.15 λ0, is the wavelength at 35 GHz) mm3 was designed. The simulated results show that the proposed helical antenna array has a wide impedance bandwidth from 26.5 to 40 GHz for |S11| < -13 dB and AR for AR < 3 dB. The proposed antenna array was also measured and good agreement is achieved between the simulated and measured results.

  1. JOHNSON, D. R., VOLAKIS, L. Antenna Engineering Handbook. 4nd ed. New York (USA): McGraw-Hill, 2007, p. (26-1)–(26-10). ISBN: 978-0071475747
  2. MANABE, T., SATO, K., MASUZAWA, H., et al. Polarization dependence of multipath propagation and high-speed transmission characteristics of indoor millimeter-wave channel at 60 GHz. IEEE Transactions on Vehicular Technology, 1995, vol. 44, no. 2, p. 268–274. DOI: 10.1109/25.385918
  3. HUANG, J. Microstrip antenna developments at JPL. IEEE Antennas and Propagation Magazine, 1991, vol. 33, no. 3, p. 33–41. DOI: 10.1109/74.88219
  4. PAN, Y. M., ZHENG, S. Y., HU, B. J. Wideband and low-profile omnidirectional circularly polarized patch antenna. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 8, p. 4347–4351. DOI: 10.1109/TAP.2014.2323412
  5. WHEELER, H. A. A helical antenna for circular polarization. Proceedings of the IRE, 1947, vol. 35, no. 12, p. 1484–1488. DOI: 10.1109/JRPROC.1947.234573
  6. MA, X., HUANG, CH., PAN, W., et al. A dual circularly polarized horn antenna in Ku-band based on chiral metamaterial. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 4, p. 2307–2311. DOI: 10.1109/TAP.2014.2301841
  7. ROW, J. S., WU, S. W. Circularly-polarized wide slot antenna loaded with a parasitic patch. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, no. 9, p. 2826–2832. DOI: 10.1109/TAP.2008.928769
  8. NAKANO, H., OYANAGI, H., YAMAUCHI, J. A wideband circularly polarized conical beam from a two-arm spiral antenna excited in phase. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 10, p. 3518–3525. DOI: 10.1109/TAP.2011.2163759
  9. BAIK, J. W., LEE, K. J., YOON, W. S., et al. Circularly polarised printed crossed dipole antennas with broadband axial ratio. Electronics Letters, 2008, vol. 44, no. 13, p. 785–786. DOI: 10.1049/el:20080794
  10. CHEN, Z., SHEN, Z. Planar helical antenna of circular polarization. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 10, p. 4315–4323. DOI: 10.1109/TAP.2015.2463746
  11. QU, X, YANG, Z. The design of helix antenna on low profile and wide band. In 2016 IEEE 5th Asia-Pacific Conference on Antennas and Propagation (APCAP). Kaohsiung (Taiwan), 2017, p. 123–124. DOI: 10.1109/APCAP.2016.7843129
  12. LOPEZ-SORIANO, S, PARRON, J. Design of a small-size, lowprofile and low-cost normal mode helical antenna for UHF RFID wristbands. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 2074–2077. DOI: 10.1109/LAWP.2017.2696300
  13. LIU, C., GUO, Y. X., BAO, X., XIAO, S. Q. 60-GHz LTCC integrated circularly polarized helical antenna array. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 3, p. 1329–1335. DOI: 10.1109/TAP.2011.2180351
  14. CAO, B., WANG, H., WANG, Y., ZHENG, J., et al. W-band LTCC helical antenna array with substrate integrated horn. In 2014 Asia-Pacific Microwave Conference. Sendai (Japan), 2014, p. 357–359.
  15. BALANIS, C. A. Antenna Theory. 3nd ed. Hoboken (NJ): Wiley, 2005. P. 568–571. ISBN: 978-0471667827
  16. KRAUS, D. J., MARHEFKA, R. J. Antennas: For All Applications. 3rd ed. New York (NY, USA): McGraw-Hill, 2002. ISBN: 978-0072321036
  17. DU M., XU J., DONG Y. L., DING X. LTCC SIW-vertical-feddipole array fed by microstrip network with tapered microstrip-toSIW transition for wideband millimeter-wave applications. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 1953–1956. DOI: 10.1109/LAWP.2017.2690325
  18. DU, M., DONG, Y. L., XU, J., DING, X. 35-GHz wideband circularly polarized patch array on LTCC. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 6, p. 3235–3240. DOI: 10.1109/TAP.2017.2689073

Keywords: Millimeter-wave (mmW), circularly polarized, helical, array, low-temperature co-fired ceramic (LTCC)

P. Piasecki, Y. Yashchyshyn [references] [full-text] [DOI: 10.13164/re.2018.0463] [Download Citations]
Study of D-band LTCC Leaky Wave Antenna Optimized for Broadside Radiation

This paper presents the design, fabrication and measurements of a Low Temperature Co-Fired Ceramic leaky wave antenna optimized for broadside radiation. The antenna consists of two DuPont 9k7 material layers. The thickness of each layer is approximately 115 um. The bottom layer plays the role of the main substrate and a top layer is used for creating a corrugated structure. The developed antenna operates in the frequency range of 125 GHz – 135 GHz with a minimized radiation pattern squint below 8 degrees in the whole operating bandwidth. The antenna reflection coefficient S11 is less than -10 dB in the operating frequency bandwidth and the maximum measured antenna gain is 9.9 dBi. Moreover a study of different antenna radiating structures and their capability of broadside radiation and reflection coefficient properties are discussed.

  1. XU, J., CHEN, Z. N., QING, X., et al. 140-GHz TE20-mode dielectric-loaded SIW slot antenna array in LTCC. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 4, p. 1784–1793. DOI: 10.1109/TAP.2012.2220317
  2. XU, J., CHEN, Z. N., QING, X. 270-GHz LTCC-integrated high gain cavity-backed Fresnel zone plate lens antenna. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 4, p. 1679–1687. DOI: 10.1109/TAP.2012.2232261
  3. ZHANG, B., GULAN, H., ZWICK, T., et al. Integration of a 140 GHz packaged LTCC grid array antenna with an InP detector. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2015, vol. 5, no. 8, p. 1060–1068. DOI: 10.1109/TCPMT.2015.2453407
  4. BHUTANI, A., GULAN, H., GOETTEL, B., et al. 122 GHz aperture-coupled stacked patch microstrip antenna in LTCC technology. In Proceedings of the 10th European Conference on Antennas and Propagation EuCAP. Davos (Switzerland), 2016, 5 p. DOI: 10.1109/EuCAP.2016.7481147
  5. TAJIMA, T., SONG, H., AJITO, K., et al. 300-GHz step profiled corrugated horn antennas integrated in LTCC. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 11, p. 5437 to 5444. DOI: 10.1109/TAP.2014.2350520
  6. MONTICONE, F., ALÙ, A. Leaky-wave theory, techniques, and applications: From microwaves to visible frequencies. IEEE Proceedings, 2015, vol. 103, no. 5, p. 793–821. DOI: 10.1109/JPROC.2015.2399419
  7. YASHCHYSHYN, Y., MODELSKI, J. W. Rigorous analysis and investigations of the scan antennas on a ferroelectric substrate. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 2, p. 427–438. DOI: 10.1109/TMTT.2004.840779
  8. SOLBACH, K. E-band leaky wave antenna using dielectric image line with etched radiating elements. In IEEE MTT-S International Microwave Symposium Digest. Orlando (FL, USA), 1979, p. 214 to 217. DOI: 10.1109/MWSYM.1979.1124023
  9. YANG, S., LING, H. Application of a microstrip leaky wave antenna for range–azimuth tracking of humans. IEEE Geoscience and Remote Sensing Letters, 2013, vol. 19, no. 6, p. 1384–1388. DOI: 10.1109/LGRS.2013.2243401
  10. YASHCHYSHYN, Y., MODELSKI, J. Reconfigurable semiconductor antenna. In Proceedings of the 9th International Conference The Experience of Designing and Application of CAD Systems in Microelectronics CADSM. Lviv-Polyana (Ukraine), 2007, p. 146–150. DOI: 10.1109/CADSM.2007.4297507
  11. ANDRUSHCHAK, N. A., KARBOVNYK, I. D. GODZISZEWSKI, K., et al. New interference technique for determination of low loss material permittivity in the extremely high frequency range. IEEE Transactions of Instrumentation and Measurement, 2015, vol. 64, no. 11, p. 3005–3012. DOI: 10.1109/TIM.2015.2437631
  12. PIASECKI, P. YASHCHYSHYN, Y., DENISOV, A. Investigation of LTCC leaky wave antenna operated in mm-wave band. In Proceedings of the 21th International Conference on Microwave, Radar and Wireless Communications MIKON. Krakow (Poland), 2016, 4 p. DOI: 10.1109/MIKON.2016.7492006
  13. YASHCHYSHYN, Y., BAJURKO, P. R., PIASECKI, P., et al. Experience in developing LTCC technologies for mm-wave antennas. In Proceedings of the 11th European Conference on Antennas and Propagation EUCAP. Paris (France), 2017, 5 p. DOI: 10.23919/EuCAP.2017.7928083
  14. SYNKIEWICZ, B., KULAWIK, J., SKWAREK, A., et al. High resolution patterns on LTCC substrates for microwave applications obtained by screen printing and laser ablation. In Proceedings of the 39th International Spring Seminar of Electronics Technology ISSE. Pilsen (Czech Republic), May 2016, p. 17–21. DOI: 10.1109/ISSE.2016.7563153

Keywords: Antenna, leaky wave, LTCC, mm-waves

X. K. Wei, W. Shao, X. H. Wang, B.-Z. Wang [references] [full-text] [DOI: 10.13164/re.2018.0469] [Download Citations]
Domain Decomposition CN-FDTD with Unsplit-Field PML for Time-Reversed Channel Analysis

In this paper, an efficient domain decomposition (DD) technique is introduced into the implicit Crank-Nicolson finite-difference time-domain (CN-FDTD) method to analyze the channel characteristics of time reversal (TR) waves. As an unconditionally stable time-marching method, DD-CN-FDTD is suitable to solve the multiscale problem involving special microstructures in a sub-wavelength array. The standard unsplit-field perfectly matched layer, which is implemented with auxiliary differential equations, is derived here to truncate the computational domain of a multipath indoor environment. Furthermore, each sub-matrix is preconditioned by the reverse Cuthill-Mckee scheme for easier lower-upper decomposition. Numerical results of TR wave propagation demonstrate the performance of the proposed method.

  1. TAFLOVE, A., HAGNESS, S. C. Computational Electromagnetics: The Finite-Difference Time-Domain Method. Norwood, MA, USA: Artech House, 2005. ISBN: 1580538320
  2. SUN, G., TRUEMAN, C. W. Approximate Crank-Nicolson schemes for the 2-D finite-difference time-domain method for TEz waves. IEEE Transactions on Antennas and Propagation, 2004, vol. 52, no. 11, p. 2963–2972. DOI: 10.1109/TAP.2004.835142
  3. WEI, X. K., SHAO, W., SHI, S. B., WANG, B. Z. An efficient 2- D WLP-FDTD method utilizing vertex-based domain decomposition scheme. IEEE Microwave and Wireless Component Letters, 2015, vol. 25, no. 12, p. 769–771. DOI: 10.1109/LMWC.2015.2495210
  4. WEI, X. K., SHAO, W., SHI, S. B., CHENG, Y. F., WANG, B. Z. An optimized higher order PML in domain decomposition WLPFDTD method for time reversal analysis. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 10, p. 4374–4383. DOI: 10.1109/TAP.2016.2596899
  5. FINK, M. Time reversal of ultrasonic fields—part I: basic principles. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 1992, vol. 39, no. 5, p. 555–566. DOI: 10.1109/58.156174
  6. ZHOU, C. M., GUO, N., QIU, R. C. Time-reversed ultra-wideband (UWB) multiple input multiple output (MIMO) based on measured spatial channels. IEEE Transactions on Vehicular Technology, 2009, vol. 58, no. 6, p. 2884–2898. DOI: 10.1109/TVT.2008.2012109
  7. KHALEGHI, A. Measurement and analysis of ultra-wideband time reversal for indoor propagation channels. Wireless Personal Communications, 2010, vol. 54, no. 5, p. 307–320. DOI: 10.1007/s11277-009-9727-y
  8. WEI, X. K., SHAO, W., OU, H., WANG, B. Z. Efficient WLPFDTD with complex frequency-shifted PML for super-resolution analysis. IEEE Antennas and Wireless Propagation Letters, 2017, vol. 16, p. 1007–1010. DOI: 10.1109/LAWP.2016.2616289
  9. GEDNEY, S. D., ZHAO, B. An auxiliary differential equation formulation for the complex-frequency shifted PML. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 3, p. 838–847. DOI: 10.1109/TAP.2009.2037765
  10. CUTHILL, E., MCKEE, J. Reducing the bandwidth of sparse symmetric matrices. In Proceedings of the 1969 24th National Conference on ACM. New York (USA), 1969, p. 157–172. DOI: 10.1145/800195.805928
  11. YI, M., QIAN, Z. G., AYDINER, A., SWAMINATHAN, M. Transient simulation of multiscale structures using the nonconformal domain decomposition Laguerre-FDTD method. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2015, vol. 05, no. 4, p. 532–540. DOI: 10.1109/TCPMT.2015.2411744
  12. GOLUB, G. H., VAN LOAN, C. F. Matrix Computations. 4th ed., USA: Johns Hopkins University, 2014. ISBN: 97814214079 44
  13. BUCCELLA, C. FELIZIANI, M., MANZI, G. Detection and localization of defects in shielded cables by time-domain measurements with UWB pulse injection and clean algorithm post processing. IEEE Transactions on Electromagnetic Compatibility, 2004, vol. 46, no. 4, p. 597–605. DOI: 10.1109/TEMC.2004.8378 42
  14. NAQVI, I. H., BESNIER, P., ZEIN, G. E. Robustness of a timereversal ultra-wideband system in non-stationary channel environments. IET Microwaves, Antennas and Propagation, 2011, vol. 5, no. 4, p. 468–475. DOI: 10.1049/iet-map.2010.0127
  15. WEI, X. K., SHAO, W., OU, H., WANG, B. Z. An efficient higher-order PML in WLP-FDTD method for time reversed wave simulation. Journal of Computational Physics, 2016, vol. 321, no. 9, p. 1206–1216. DOI: 10.1016/j.jcp.2016.06.032

Keywords: Domain decomposition (DD) technique, finite-difference time-domain (FDTD) method, perfectly matched layer (PML), time-reversal (TR)

G. Baruffa, L. Rugini, F. Frescura, P. Banelli [references] [full-text] [DOI: 10.13164/re.2018.0475] [Download Citations]
Real-Time Generation of Standard-Compliant DVB-T Signals

This paper proposes and discusses two software implementations of the DVB-T modulator, using C++ and MATLAB, respectively. All the key features of the DVB-T standard are included. The C++ DVB-T modulator, incorporated into the Iris framework developed by Trinity College of Dublin, works in real time on an Intel Core i7 2.4 GHz CPU with the Iris testbed. The MATLAB-based DVB-T modulator is coupled with a receiver implementation with channel estimation, equalization, soft-output demapping and channel decoding. The validation step demonstrates that the proposed DVB-T software implementations generate standard-compliant DVB-T signals that are correctly received by commercially available TV sets and USB dongles. The software code for the Iris-based C++ modulator, and for the MATLAB-based modulator and receiver, has been made publicly available under the GNU license.

  1. DIGITAL VIDEO BROADCASTING (DVB). Framing structure, channel coding and modulation for digital terrestrial television, ETSI EN 300 744 V1.6.1 (2009-01). ETSI.
  2. POGGIONI, M., RUGINI, L., BANELLI, P. DVB-T/H and T-DMB: Physical layer performance comparison in fast mobile channels. IEEE Transactions on Broadcasting, 2009, vol. 55, no. 4, p. 719–730. DOI: 10.1109/TBC.2009.2034418
  3. REIMERS, U. H. DVB - The family of international standards for digital video broadcasting. Proceedings of the IEEE, 2006, vol. 94, no. 1, p. 173–182. DOI: 10.1109/JPROC.2005.861004
  4. ULVERSØY, T. Software defined radio: Challenges and opportunities. IEEE Communications Surveys and Tutorials, 2010, vol. 12, no. 4, p. 531–550. DOI: 10.1109/SURV.2010.032910.00019
  5. PALKOVIC, M., RAGHAYAN, P., LI, M., et al. Future softwaredefined radio platforms and mapping flows. IEEE Signal Processing Magazine, 2010, vol. 27, no. 2, p. 22–33. DOI: 10.1109/MSP.2009.935386
  6. BLAKE, G., DRESLINSKI, R. G., MUDGE, T. A survey of multicore processors. IEEE Signal Processing Magazine, 2009, vol. 26, no. 6, p. 26–37. DOI: 10.1109/MSP.2009.934110
  7. KRATOCHVIL, T., SLANINA, M. The DVB channel coding application using the DSP development board MDS TM-13 IREF. Radioengineering, 2004, vol. 13, no. 4, p. 14–17.
  8. IANCU, D., YE, H., GLOSSNER, J., et al. Software-only implementation of DBV-H. In Proceedings of SPIE Multimedia on Mobile Devices, 2008, 8 p. DOI: 10.1117/12.763800
  9. PELLEGRINI, V., BACCI, G., LUISE, M. Soft-DVB: A fullysoftware GNU Radio-based ETSI DVB-T modulator. In Proceedings of the 5th Karlsruhe Workshop on Software Radios, 2008.
  10. PELLEGRINI, V., LUISE, M. Fully software OFDM modulation in vehicular, highly time-variant channels: An implemented technology and its results. In Proceedings of IEEE International Symposium on Wireless Communication Systems. Tuscany (Italy), 2009. DOI: 10.1109/ISWCS.2009.5285237
  11. PELLEGRINI, V., DI DIO, M., ROSE, L., LUISE, M. On the computation/memory trade-off in software defined radios. In Proceedings of IEEE Global Telecommunication Conference (GLOBECOM). Miami (FL, USA), 2010. DOI: 10.1109/GLOCOM.2010.5683494
  12. JIANG, Y., XU, W., GRASSMANN, C. Implementing a DVB-T/H receiver on a software-defined radio platform. International Journal of Digital Multimedia Broadcasting, 2009, 7 p. DOI: 10.1155/2009/937848
  13. SUGANO, H., MIYAMOTO, R., OKADA, M. Fully softwarebased real-time ISDB-T 1 segment receiver. In Proceedings of IEEE International Symposium on Broadband Multimedia Systems and Broadcasting (BMSB). Nuremberg (Germany), 2011, 5 p. DOI: 10.1109/BMSB.2011.5954956
  14. YU, J.-C., SHIH, J.-Z., HSU, Y.-T., TSENG, S.-M. Reed-Solomon decoder optimization for PC-based DVB-T software radio receiver. In Proceedings of IEEE International Conference on Consumer Electronics (ICCE). Las Vegas (USA), 2011, 2 p. DOI: 10.1109/ICCE.2011.5722645
  15. TSENG, S.-M., HSU, Y.-T., LIN, H.-K. Iterative channel decoding for PC-based software radio DVB-T receiver. Wireless Personal Communications, 2013, vol. 69, no. 1, p. 403–411. DOI: 10.1007/s11277-012-0580-z
  16. TSENG, S.-M., HSU, Y.-T., CHANG, Y.-Y., LEE, T.-C. Software baseband optimization except channel decoding for PC-based DVB-T software radio receiver. Lecture Notes in Electrical Engineering, 2014, vol. 260, p. 319–327. DOI: 10.1007/978-94- 007-7262-5_37
  17. TSENG, S.-M., CHANG, T.-K., HSU, Y.-T. A/D USB dongle implementation for NB/PC-based software radio DVB-T receiver. In Proceedings of IEEE International Conference on Advanced Technologies for Communication (ATC). Hanoi (Vietnam), 2012, 5 p. DOI: 10.1109/ATC.2012.6404278
  18. LEE, K.-H., HEO, S. W. GPU based software DVB-T receiver design. In Proceedings of IEEE International Conference on Consumer Electronics (ICCE). Las Vegas (USA), 2013, 5 p. DOI: 10.1109/ICCE.2013.6487027
  19. BARUFFA, G., RUGINI, L., BANELLI, P. Design and validation of a software defined radio testbed for DVB-T transmission. Radioengineering, 2014, vol. 23, no. 1, p. 387–398.
  20. MACIEL, Y. P., AKAMINE, C., BEDICKS, JR. G., LOPES, P. B. ISDB-TB transmission in software-defined radio. In Proceedings of the 7th IEEE Latin-American Conference on Communications (LATINCOM). Arequipa, (Peru), 2015, 6 p. DOI: 10.1109/LATINCOM.2015.7430122
  21. CICHON, G., FETTWEIS, G., MOUSE: A shortcut from Matlab source to SIMD DSP assembly code. In Proceedings of International Workshop on Embedded Computer Systems. Samos (Greece), 2004, p. 159–167. DOI: 10.1007/978-3-540-27776-7_17
  22. VEJRAZKA, F., KOVAR, P., ESKA, M., PURICER, P. Software navigation receivers for GNSS and DVB. TransNav International Journal on Marine Navigation and Safety of Sea Transportation, 2007, vol. 1, no. 2, p. 137–141.
  23. HUTTL, A., KRATOCHVIL, T. DVB-T channel coding implementation in MATLAB. In Proceedings of MATLAB Conference. Prague (Czech Republic), 2009.
  24. POLAK, L., KRATOCHVIL, T. Simulation of the DVB-H channel coding and transmission in MATLAB. In Proceedings of IEEE International Conference Radioelektronika. Brno (Czech Republic), 2010, 4 p. DOI: 10.1109/RADIOELEK.2010.5478591
  25. SUTTON, P., LOTZE, J., LAHLOU, H., et al. Iris: an architecture for cognitive radio networking testbeds. IEEE Communications Magazine, 2010, vol. 48, no. 9, p. 114–122. DOI: 10.1109/MCOM.2010.5560595
  26. DOYLE, L. E., SUTTON, P. D., NOLAN, K E., et al. Experiences from the Iris testbed in dynamic spectrum access and cognitive radio experimentation. In Proceedings of IEEE Symposium on New Frontiers in Dynamic Spectrum (DySPAN). Singapore, 2010, 8 p. DOI: 10.1109/DYSPAN.2010.5457835
  27. FRIGO, M., JOHNSON, S. G. FFTW: An adaptive software architecture for the FFT. In Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). Seattle (USA), 1998, p. 1381–1384. DOI: 10.1109/ICASSP.1998.681704
  28. MARTIN, K., HOFFMAN, B. An open source approach to developing software in a small organization. IEEE Software, 2007, vol. 24, no. 1, p. 46–53. DOI: 10.1109/MS.2007.5
  29. TOSATO, F., BISAGLIA, P. Simplified soft-output demapper for binary interleaved COFDM with application to HIPERLAN/2. In Proceedings of IEEE International Conference on Communication (ICC). New York (USA), 2002. DOI: 10.1109/ICC.2002.996940
  30. BARUFFA, G., RUGINI, L. Soft-output demapper with approximated LLR for DVB-T2 systems. In Proc. IEEE Global Communication Conference (GLOBECOM). San Diego (USA), 2015. DOI: 10.1109/GLOCOM.2015.7417395
  31. GOMEZ-BARQUERO, D., WINSTON CALDWELL, M. Broadcast television spectrum incentive auctions in the U.S.: Trends, challenges, and opportunities. IEEE Communications Magazine, 2015, vol. 53, no. 7, p. 50–56. DOI: 10.1109/MCOM.2015.7158265
  32. ALA-FOSSI, M., BONET, M. Clearing the skies: European spectrum policy and future challenges of DTT in Finland and Spain. International Journal of Digital Television, 2016, vol. 7, no. 3, p. 363–377. DOI: 10.1386/jdtv.7.3.363_1
  33. BARUFFA, G., FEMMINELLA, M., MARIANI, F., REALI, G. Protection ratio and antenna separation for DVB-T/LTE coexistence issues. IEEE Communications Letters, 2013, vol. 17, no. 8, p. 1588–1591. DOI: 10.1109/LCOMM.2013.070113.130887
  34. BANELLI, P. Bayesian estimation of a Gaussian source in Middleton’s class-A impulsive noise. IEEE Signal Processing Letters, 2013, vol. 20, no. 10, p. 956–959. DOI: 10.1109/LSP.2013.2274774
  35. RUGINI, L., BANELLI, P. On the equivalence of maximum SNR and MMSE estimation: applications to additive non-Gaussian channels and quantized observations. IEEE Transactions on Signal Processing, 2016, vol. 64, no. 23, p. 6190–6199. DOI: 10.1109/TSP.2016.2607152
  36. FADDA, M., MURRONI, M., POPESCU, V. An unlicensed indoor HDTV multi-vision system in the DTT bands. IEEE Transactions on Broadcasting, 2012, vol. 58, no. 3, p. 338–346. DOI: 10.1109/TBC.2012.2201559
  37. GRONROOS, S., NYBOM, K., BJORKVIST, J. Complexity analysis of software defined DVB-T2 physical layer. Analog Integrated Circuits and Signal Processing, 2011, vol. 69, no. 2-3, p. 131–142. DOI: 10.1007/s10470-011-9724-4
  38. SAMO, D. A., SLIMANI, M., BARUFFA, G., RUGINI, L. A performance study of DVB-T2 and DVB-T2-Lite for mobile reception. Digital Signal Processing, 2015, vol. 37, p. 35–42. DOI: 10.1016/j.dsp.2014.11.002
  39. HASSE, P., ROBERT, J. A software-based real-time DVB-C2 receiver. In Proceedings of IEEE International Symposium on Broadband Multimedia Systems and Broadcasting (BMSB). Nuremberg (Germany), 2011. DOI: 10.1109/BMSB.2011.5954935

Keywords: Digital Video Broadcasting-Terrestrial (DVB-T), OFDM, software-defined radio (SDR), C++, MATLAB

J. She, Y. Yu, P.-F. Cui, W.-J. Lu, H.-B. Zhu [references] [full-text] [DOI: 10.13164/re.2018.0485] [Download Citations]
Reverberation Time and Power Model in Indoor Wireless Scenarios

A novel, room-electromagnetics-theory-based model for reverberation time, path gain and Power Delay Profile (PDP) is proposed. Unlike the traditional models describing only the reflections, the new model takes not only reflections at boundaries, but also the effects including scattering, diffraction and air absorption along the propagation path into consideration. Extensive measurements at 2.6 GHz under Line-Of-Sight (LOS) conditions are carried out not only in enclosed structures, but also in semi-enclosed scenarios which are normally with higher average absorptive coefficients. Hence, the application of reverberation model is extended compared to open literature. Reverberation time and path gain values predicted by the proposed model are in good agreement with these measurement results obtained in various indoor wireless environments. In addition, a novel PDP model with lower complexity is proposed based on measured path gain and Nakagami-m distribution. The proposed models are proved to be more accurate than traditional reverberation models.

  1. ANDERSEN, J. B., NIELSEN, J. O., PEDERSEN, G. F., et al. Room electromagnetics. IEEE Antennas and Propagation Magazine, 2007, vol. 49, no. 2, p. 27–33. DOI: 10.1109/MAP.2007.376642
  2. CHENG, S., GAILLOT, D. P., TANGHE, E., et al. Polarimetric distance-dependent models for large hall scenarios. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 5, p. 1907–1917. DOI: 10.1109/TAP.2016.2535100
  3. GAILLOT, D. P., TANGHE, E., JOSEPH, W., et al. Polarization properties of specular and dense multipath components in a large industrial hall. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 7, p. 3219–3228. DOI: 10.1109/TAP.2015.2430374
  4. STEINBOCK, G., PEDERSEN, T., FLEURY, B. H., et al. Distance dependent model for the delay power spectrum of inroom radio channels. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 8, p. 4327–4340. DOI: 10.1109/TAP.2013.2260513
  5. BAMBA, A., GAILLOT, D. P., TANGHE, E., et al. Experimental investigation of electromagnetic reverberation characteristics as a function of UWB frequencies. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 859–862. DOI: 10.1109/LAWP.2014.2382873
  6. BAMBA, A., JOSEPH, W., TANGHE, E., et al. Circuit model for diffuse multipath and electromagnetic absorption prediction in rooms. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 6, p. 3292–3301. DOI: 10.1109/TAP.2013.2250234
  7. NIELSEN, Ø., ANDERSEN, J. B., PEDERSEN, G. F., et al. On polarization and frequency dependence of diffuse indoor propagation. In 2011 IEEE Vehicular Technology Conference (VTC Fall). San Francisco (USA), 2011, p. 1–5. DOI: 10.1109/VETECF.2011.6092906
  8. YU, Y., LIU, Y., LU, W.-J., et al. Modelling and simulation of channel power delay profile under indoor stair environment. IET Communications, 2017, vol. 11, no. 1, p. 119–126. DOI: 10.1049/iet-com.2015.1004
  9. HOLLOWAY, C. L., COTTON, M. G., MCKENNA, P. A model for predicting the power delay profile characteristics inside a room. IEEE Transactions on Vehicular Technology, 1999, vol. 48, no. 4, p. 1110–1120. DOI: 10.1109/25.775360
  10. STEINBOCK, G., GAN, M., MEISSNER, P., et al. Hybrid model for reverberant indoor radio channels using rays and graphs. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 9, p. 4036–4048. DOI: 10.1109/TAP.2016.2589958
  11. SABINE, W. C. Collected Papers on Acoustics. Cambridge (MA, USA): Harvard Univ. Press, 1922.
  12. EYRING, C. F., Reverberation time in “dead” rooms. Journal of the Acoustical Society of America, 1930, vol. 1, no. 2, p. 217–241.
  13. KUTTRUFF, H. Room Acoustics. 4th ed. New York (USA): Taylor & Francis, 2000. ISBN: 9780419245803
  14. WENMAEKERS, R., HAK, C., HORNIKX, M. The effective air absorption coefficient for predicting reverberation time in full octave bands. Journal of the Acoustical Society of America, 2014, vol. 136, no. 6, p. 3063–3071. DOI: 10.1121/1.4901710
  15. INAN, S. U., INAN, S. A. Electromagnetic Waves. Englewood Cliffs (USA): Prentice-Hall, 2000. ISBN: 9780201361797
  16. BAMBA, A., JOSEPH, W., ANDERSEN, J. B., et al. Experimental assessment of specific absorption rate using room electromagnetics. IEEE Transactions on Electromagnetic Compatibility, 2012, vol. 54, no. 4, p. 747–757. DOI: 10.1109/TEMC.2012.2189572
  17. CASSIOLI, D., WIN, M. Z., MOLISCH, A. F. The ultra-wide bandwidth indoor channel: from statistical model to simulations. IEEE Journal on Selected Areas in Communications, 2002, vol. 20, no. 6, p. 1247–1257. DOI: 10.1109/JSAC.2002.801228
  18. SHE, J., YANG, B., LIU, J., et al. Characterization of 2.6 GHz wireless channel in meeting room scenario using reverberation theory. In IEEE International Conference on Ubiquitous Wireless Broadband (ICUWB). Nanjing (China), 2016, p. 1–4. DOI: 10.1109/ICUWB.2016.7790586
  19. WANG, Y., LU, W.-J., ZHU, H.-B. Propagation characteristics of the LTE indoor radio channel with persons at 2.6 GHz. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 991 to 994. DOI: 10.1109/LAWP.2013.2275811
  20. STEINBOCK, G., PEDERSEN, T., FLEURY, B. H., et al. Experimental validation of the reverberation effect in room electromagnetics. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 5, p. 2041–2053. DOI: 10.1109/TAP.2015.2423636
  21. KUNISCH, J., PAMP, J. Measurement results and modeling aspects for the UWB radio channel. In Proceedings of IEEE Conference on Ultra Wideband Systems and Technologies. Baltimore (USA), 2002, p. 19–23. DOI: 10.1109/UWBST.2002.1006310
  22. PETER, M., KEUSGEN, W. Analysis and comparison of indoor wideband radio channels at 5 and 60 GHz. In Proceedings of the 3th European Conference on Antennas and Propagation (EuCAP’09). Berlin (Germany), 2009, p. 3830–3834.

Keywords: Indoor environment, reverberation time, path gain, Power Delay Profile (PDP), room electromagnetics

F. Mejri, T. Aguili [references] [full-text] [DOI: 10.13164/re.2018.0494] [Download Citations]
Design of a Microwave Duplexer without Ferrite and without Magnet

In this paper we present the design, realization and characterization of a microwave duplexer, compact, easy to realize and integrate into systems such as ground penetrating radars. It is made without the use of ferrite or magnet. This device is designed in the S band and made in micro-ribbon technology. It consists of a power divider and two RF amplifiers, low gain, using a BFR91 bipolar transistor. The latter is frequently available and inexpensive. Measurements made on a vector network analyzer have shown a low insertion loss with insulation considered satisfactory – for low power applications - between the transmitter (Tx) and the receiver (Rx) circuits.

  1. HICKMAN, I. Analog Circuits Cookbook. 2nd ed. Newnes, 1999. ISBN: 0750642343
  2. HAGEN, J. B. Radio-Frequency Electronics Circuits and Applications. 2nd ed. Cambridge University Press, 2009. ISBN: 978-0-521-88974-2
  3. DANIELS, D. J. Ground Penetrating Radar. 2nd ed. London (United Kingdom): Institution of Electrical Engineers, 2003. ISBN: 0863413609
  4. BARTON, D. K., LEONOV, S. A. Radar Technology Encyclopedia. Norwood: Artech House, 1998. ISBN: 0-89006- 893-3
  5. http://www.radartutorial.eu/06.antennas/Duplexeur%20lat%C3%A 9ral.fr.html
  6. ANNAN, A. P. Ground Penetrating Radar Principles, Procedures & Applications. Sensors & Software Inc., 2003.
  7. BRISTOW, C. S., JOL, H. M. (Eds.) Ground Penetrating Radar in Sediments. Geological Society of London, 2003. ISBN: 1-86239- 131-9
  8. GONZALEZ, G. Microwave Transistor Amplifiers, Analysis and Design. 2nd ed. Upper Saddle River, NJ: Prentice-Hall, Inc. 1997. ISBN: 0-13-254335-4
  9. WADELL, B. C. Transmission Line Design Handbook. Artech House, 1991. ISBN: 0-89006-436-9
  10. HONG, J. S., LANCASTER, M. J. Microstrip Filters for RF/Microwave Applications. John Wiley, 2001. ISBN: 0-471- 22161-9
  11. FOOKS, E. H., ZAKAREVICIUS, R. A. Microwave Engineering Using Microstrip Circuits. Prentice Hall, 1990. ISBN: 0136916503
  12. WONG, K. L. Compact and Broadband Microstrip Antennas. John Wiley, 2002. ISBN: 0-471-41717-3
  13. WILKINSON, E. J. An N-way hybrid power divider. IRE Transactions on Microwave Theory and Techniques, 1960, vol. 8, no. 1, p. 116–118. DOI: 10.1109/TMTT.1960.1124668
  14. HORST, S., BAIRAVASUBRAMANIAN, R., TENTZERIS, M. M., et al. Modified Wilkinson power dividers for millimeter-wave integrated circuits. IEEE Transactions on Microwave Theory and Techniques, 2007, vol. 55, no. 11, p. 2439–2445. DOI: 10.1109/TMTT.2007.908672

Keywords: Duplexer, micro-strip structure, microwave, bipolar transistor, RF amplifier, polarization tee, Wilkinson power divider.

N. Lekic, Z. Mijanovic, R. Dragovic-Ivanovic, LJ. Stankovic [references] [full-text] [DOI: 10.13164/re.2018.0501] [Download Citations]
Binary Weighted DAC with 2-ξ Resistor Ratio

In this paper we present a new digital analog converter (DAC) design, based on the binary weighted resistor network. The proposed design ensures high conversion accuracy using low precision resistors with ±1% ±2%, ±5%, ±10% and ±20% resistor tolerance. High accuracy is achieved due to better coverage of the analog domain of the transfer characteristic. In binary weighted converters the imprecision of resistors introduces positive and negative differential nonlinearities (DNL). Positive DNL causes gap in the analog domain of the transfer characteristic and negative DNL causes non-monotonicity. In the proposed solution we change the resistor ratio of the two consecutive DAC branches from 2 to 2-ξ, where ξ is small positive number. With this change, we intentionally introduce an additional negative DNL in order to entirely avoid the positive gap. Simulation results confirm that even with resistors tolerance of up to ±10%, we can achieve a converter with maximal gap in the transfer characteristic less than or around one LSB.

  1. BEHZAD, R. Design of Analog CMOS Integrated Circuits. International edition, vol. 400, 2001. ISBN: 9780072380323
  2. EYNDE, F.O., SANSEN, W. Analog Interfaces for Digital Signal Processing Systems. Kluwer Academic Publishers, 1993. ISBN: 9781461532569
  3. MARCHE, D., SAVARIA, Y., GAGNON, Y. Laser fine-tuneable deep-submicrometer CMOS 14-bit DAC. IEEE Transaction on Circuits and System I, 2008, vol. 55, no. 8, p. 2157–2165. DOI: 10.1109/TCSI.2008.920152
  4. LI, Y., ZENG, T., CHEN, D. A high resolution and high accuracy R-2R DAC based on ordered element matching. In IEEE International Symposium on Circuits and Systems (ISCAS). Bejing (China), 2013, p. 1974–1977. DOI: 10.1109/ISCAS.2013.6572256
  5. VARGHA, B., SCHOUKENS, J., ROLAIN, Y. Static nonlinearity testing of digital-to-analog converters, IEEE Transactions on Instrumentation and Measurement, 2001, vol. 50, no. 5, p. 1283 to 1288. DOI: 10.1109/19.963198
  6. KESTER, W. Data Conversion Handbook. Analog Devices, Inc, 2005. ISBN-13: 978-0750678414
  7. PAVAN, S., SCHREIER, R., TEMES, G. Understanding DeltaSigma Data Converters. Second ed. Wiley, 2017. ISBN: 9781119258278
  8. MIJANOVIC, Z., DRAGOVIC-IVANOVIC, R., STANKOVIC, LJ. R/2R+ digital-analog converter (DAC). In Proceedings of IEEE Instrumentation and Measurement Technology Conference (IMTC). Brussels (Belgium), 1996, p. 1034–1039. DOI: 10.1109/IMTC.1996.507322
  9. DRAGOVIC-IVANOVIC, R., MIJANOVIC, Z., STANKOVIC, LJ., et al. Optimal resistor ratio in the DAC with low precision resistors. In The 9th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2002). Dubrovnik (Croatia), 2002, p. 409–412. DOI: 10.1109/ICECS.2002.1045420
  10. STANKOVIC, LJ., DRAGOVIC-IVANOVIC, R., MIJANOVIC, Z., et al. A precise DA converter realized with imprecise resistors. WSEAS Transactions on Circuits and System, 2003, p. 426–429.
  11. SCANDURRA, G., CIOFI, C. R-R ladder networks for the design of high-accuracy static analogue memories. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 2003, vol. 50, no. 5, p. 605–612. DOI: 10.1109/TCSI.2003.811016
  12. SCANDURRA, G., CIOFI, C., CAMPOBELLO, G., et al. On the calibration of DA converters based on R/βR ladder networks. IEEE Transaction on Instrumentation and Measurement, 2009, vol. 58, no. 11, p. 3901–3906. DOI: 10.1109/TIM.2009.2021236
  13. CIOFI, C., SCANDURRA, G., CAMPOBELLO, G., et al. On the calibration of AD and DA converters based on R/βR ladder networks. In IEEE International Conference on Electronics, Circuits and Systems. Nice (France), 2006, p. 958–961. DOI: 10.1109/ICECS.2006.379949
  14. WAHO, T. Non-binary successive approximation analog-to-digital converters: A survey. In IEEE 44th International Symposium on Multiple-Valued Logic. Bremen (Germany), 2014, p. 73–78. DOI: 10.1109/ISMVL.2014.21
  15. SCANDURRA, G., CIOFI, C., CASTANO, M., et al. Design and realization of high-accuracy Static Analog Memories (SAMs) using low-cost DA converters. IEEE Transactions on Instrumentation and Measurement, 2006, vol. 55, no. 6, p. 2275–2280. DOI: 10.1109/TIM.2006.884277
  16. CHEN, C. Y. Current-mode digital-to-analog converter designed in hybrid architecture. International Journal of Electronics, 2008, vol. 95, no. 4, p. 361–369. DOI: 10.1080/00207210801976727
  17. CHEN, C. Y., CHENG, C. J., YU, C. C. Design of current-mode digital-to-analog converter in hybrid architecture. In The 3rd International IEEE-NEWCAS Conference. Quebec City (Canada), 2005, p. 231–234. DOI: 10.1109/NEWCAS.2005.1496715
  18. ANTHONY, M., KUSHNER. L. Voltage Random Access Memory, United States Patent. 2010, patent no. EP1784917
  19. CHEN, M. R. System and Method for Trimming IC Parameters, United States Patent. 2002, patent no.: US 6338032
  20. NAYLOR, J. R. R/2R Ladder Circuit and Method for Digital-toAnalog Converter, United States Patent. 1999, patent no.: WO1999026345
  21. VERSARI, R., MOLLICHELLI, M., DEL GATTO, N., et al. Regulator of a Digital-to-Analog Converter and Related Converter, United States Patent. 2008, patent no.: EP1830468
  22. DEVEUGELE, J., STEYAERT, M. A 10-bit 250-MS/s binaryweighted current-steering DAC. IEEE Journal of Solid-State Circuits, 2006, vol. 41, no. 2, p. 320–329. DOI: 10.1109/JSSC.2005.862342
  23. OLIEMAN, E., ANNEMA, A. J., NAUTA, B. An interleaved full Nyquist high-speed DAC technique. IEEE Journal of Solid-State Circuits, 2015, vol. 50, no. 3, p. 704–713. DOI: 10.1109/JSSC.2014.2387946
  24. PATEL, J., READ, C. Handbook of the Normal Distribution. 2nd ed. Marcel Dekker, 1996. ISBN: 9780824793425
  25. CESMM4, Civil Engineering Standard of Method and Measurement. 2nd ed., ICE Publishing, 2012. ISBN: 9780727757517
  26. ULRICH, R., SCHAPER, L. Integrated Passive Component Technology. Wiley, 2010. ISBN: 9780471244318, DOI: 10.1002/9780471722939
  27. VAN DER WAGT, J. P. A, CHU, G. G., CONRAD, C. L. A layout structure for matching many integrated resistors. IEEE Transactions on Circuits and Systems I: Regular Papers, 2004, vol. 51, no. 1, p. 186–190. DOI: 10.1109/TCSI.2003.821303
  28. JIANG, S. J, WU, C. L., HO, T. Y. A nonlinear optimization methodology for resistor matching in analog integrated circuits. In 2012 International Symposium on VLSI Design, Automation, and Test (VLSI-DAT). Hsinchu (China), 2012. DOI: 10.1109/VLSIDAT.2012.6212630

Keywords: Binary weighted DAC, conversion accuracy, differential nonlinearity, gap in transfer characteristic, lookup table

D. Vinko [references] [full-text] [DOI: 10.13164/re.2018.0510] [Download Citations]
Applicability of Dickson Charge Pump in Energy Harvesting Systems: Experimental Validation of Energy Harvesting Charge Pump Model

Energy harvesting methods provide very low instantaneous power. Accordingly, available voltage levels are low and must be increased so that an energy harvesting method can be used as a power supply. One approach uses charge pumps to boost low AC voltage from energy harvester to a higher DC voltage. Characterized by very low output current and a wide span of operating frequencies, energy harvesting methods introduce a number of limitations to charge pump operation. This paper describes and models behavior of Dickson charge pump in energy harvesting applications. Proposed Energy Harvesting model is evaluated and compared with Standard and Tanzawa charge pump models and with measurement results. Based on the proposed model, the conditions that need to be satisfied so that a charge pump can reach maximum power point of energy harvesting system are defined. Parameter selection method optimized for maximum power point is presented and is experimentally validated.

  1. ZAHID KAUSAR, A. S. M., REZA, A. W., SALEH, M. U., et al. Energizing wireless sensor networks by energy harvesting systems: scopes, challenges and approaches. Renewable and Sustainable Energy Reviews, 2014, vol. 38(C), p. 973–989. DOI: 10.1016/j.rser.2014.07.035
  2. BELLEVILLE, M., FANET, H., FIORINI, P., et al. Energy autonomous sensor systems: state and perspectives of a ubiquitous sensor technology. In Proceedings of the 3rd International Workshop on Advances in Sensors and Interfaces IWASI. Trani (Italy), 2009, p. 134–138. DOI: 10.1109/IWASI.2009.5184783
  3. BELLEVILLE, M., CANTATORE, E., FANET, H., et al. Energy autonomous systems: future trends in devices, technology, and systems. CATRENE Working Group on Energy Autonomous Systems 2008. Table 3, p. 20.
  4. TSAI, T. H., SHIU, B. Y., SONG, B. H. A self-sustaining integrated CMOS regulator for solar and HF RFID energy harvesting systems. IEEE Journal of Emerging and Selected Topics in Power Electronics, 2014, vol. 2, no. 3, p. 434–442. DOI: 10.1109/JESTPE.2014.2314479
  5. RABEN, H., BORG, J., JOHANSSON, J. Design of voltage multipliers for maximized DC generation in inductively coupled RFID tags. IEEE Transactions on Circuits and Systems I: Regular Papers, 2014, vol. 61, no. 11, p. 3309–3317. DOI: 10.1109/TCSI.2014.2327305
  6. HARB, A. Energy harvesting: state-of-the-art. Journal of Renewable Energy, 2011, vol. 36, p. 2641–2654. DOI: 10.1016/j.renene.2010.06.014
  7. LIU, X., SANCHEZ-SINENCIO, E. A highly efficient ultralow photovoltaic power harvesting system with MPPT for internet of things smart nodes. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2015, vol. 23, no. 12, p. 3065–3075. DOI: 10.1109/TVLSI.2014.2387167
  8. TAR, B., CILINGIROGLU, U. Nanowatt-scale power management for on-chip photovoltaic energy harvesting beacons. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2014, vol. 4, no. 3, p. 284–291. DOI: 10.1109/JETCAS.2014.2337192
  9. ASHRAF, M., MASOUMI, N. A thermal energy harvesting power supply with an internal startup circuit for pacemakers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, vol. 24, no. 1, p. 26–37. DOI: 10.1109/TVLSI.2015.2391442
  10. CARREON-BAUTISTA, S., ERBAY, C., HAN, A., et al. An inductorless DC-DC converter for an energy aware power management unit aimed at microbial fuel cell arrays. IEEE Journal of Emerging and Selected Topics in Power Electronics, 2015, vol. 3, no. 4, p. 1109–1121. DOI: 10.1109/JESTPE.2015.2398851
  11. PALUMBO, G., PAPPALARDO, D. Charge pump circuits: an overview on design strategies and topologies. IEEE Circuits and Systems Magazine, 2010, vol. 10, no. 1, p. 31–45. DOI: 10.1109/MCAS.2009.935695
  12. PALUMBO, G., PAPPALARDO, D., GAIBOTTI, M. Charge pump circuits: power consumption optimization. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 2002, vol. 49, no. 11, p. 1535–1542. DOI: 10.1109/TCSI.2002.804544
  13. PALUMBO, G., BARNIOL, N., BETHAOUI, M. Improved behavioural and design model of an N-th order charge pump. IEEE Transaction on Circuits and Systems I: Fundamental Theory and Applications, 2000, vol. 47, no. 2, p. 264–268. DOI: 10.1109/81.828583
  14. TANZAWA, T., TANAKA, T. A dynamic analysis of the Dickson charge pump circuit. IEEE Journal of Solid-State Circuits, 1997, vol. 32, no. 8, p. 1231–1240. DOI: 10.1109/4.604079
  15. VAISBAND, I., SAADAT, M., MURMANN, B. A closed-loop reconfigurable switched-capacitor DC-DC converter for sub-mW energy harvesting applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 2015, vol. 62, no. 2, p. 385–394. DOI: 10.1109/TCSI.2014.2362971
  16. KIM, J., MOK, P. K. T., KIM, C. A 0.15 V input energy harvesting charge pump with dynamic body biasing and adaptive dead-time for efficiency improvement. IEEE Journal of Solid-State Circuits, 2015, vol. 50, no. 2, p. 414–425. DOI: 10.1109/JSSC.2014.2375824
  17. PENG, H., TANG, N., YANG, Y., et al. CMOS startup charge pump with body bias and backward control for energy harvesting step-up converters. IEEE Transactions on Circuits and Systems I: Regular Papers, 2014, vol. 61, no. 6, p. 1618–1628. DOI: 10.1109/TCSI.2013.2290823
  18. DICKSON, J. F. On-chip high-voltage generation in MNOS integrated circuits using an improved voltage multiplier technique. IEEE Journal of Solid-State Circuits, 1976, vol. 11, no. 3, p. 374 to 378. DOI: 10.1109/JSSC.1976.1050739
  19. NINTANAVONGSA, P., MUNCUK, U., LEWIS, D. R., et al. Design optimization and implementation for RF energy harvesting circuit. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2012, vol. 2, no. 1, p. 24–33. DOI: 10.1109/JETCAS.2012.2187106
  20. PAN, F., SAMADDAR, T. Charge Pump Circuit Design. McGraw Hill, 2006. (p. 95–96). ISBN: 007147045X, 978-0071470452
  21. TANZAWA, T. Design of DC-DC switched-capacitor voltage multiplier driven by DC energy transducer. In Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS). Marseille (France), 2014, p. 327–330. DOI: 10.1109/ICECS.2014.7049988
  22. HOQUE, M. R., AHMAD, T., MCNUTT, T. R., et al. A technique to increase the efficiency of high-voltage charge pumps. IEEE Transactions on Circuits and Systems II: Express Briefs, 2006, vol. 53, no. 5, p. 364–368. DOI: 10.1109/TCSII.2006.869922

Keywords: Charge pumps, Energy harvesting, Circuit analysis, Mathematical model, Maximum power point

M. Bashir, S. Rao Patri, K. S. R. Krishna Prasad [references] [full-text] [DOI: 10.13164/re.2018.0519] [Download Citations]
A 159 µW, Fourth Order, Feedforward, Mutli-bit Sigma Delta Modulator for 100 kHz Bandwidth Image Sensors in 65-nm CMOS Process

A fourth-order, three-stage, feedforward cascade sigma-delta modulator (ƩΔM) for CMOS image sensor applications is realized in low leakage, high threshold voltage 65 nm CMOS standard process. A top down CAD methodology is used for the design of building blocks, which involves statistical and simulation optimization at different stages of modulator. The multi-bit ƩΔ architecture employs OTA sharing technique with the dual integrating scheme at the first stage and the gain boosted pseudo-differential class-C inverters as OTAs for the rest two stages for low area and power consumption. The operation of proposed ƩΔM is validated through post-layout simulations, considering worst case. The ƩΔM operates at a power supply of 1-V offering a peak signal-to-ratio of 92 dB and a peak signal-to-noise plus distortion ratio of 89 dB for a signal bandwidth of 100 kHz. The overall power and estimated area consumed by the ƩΔM including auxiliary blocks is 159 µW and 101.2 mm2, respectively.

  1. OHTA, J. Smart CMOS Image Sensors and Applications. 1st ed. CRC Press, Sep. 2007, p. 11–57. ISBN: 9780849336812
  2. ANNEMA, J., NAUTA, B., VAN LANGEVELDE, R., et al. Analog circuits in ultra-deep-submicron CMOS. IEEE Journal of Solid-State Circuits, Jan 2005, vol. 40, no. 1, p. 132–143. DOI: 10.1109/JSSC.2004.837247
  3. WANG, A., CALHOUN, B. H., CHANDRAKASAN, A. P. SubThreshold Design for Ultra Low-Power Systems. New York (NY, USA): Springer, 2006. ISBN: 9780387345017
  4. HASLER, P., LANDE, T. S. Overview of floating-gate devices, circuits, and systems. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Jan 2001, vol. 48, no. 1, p. 1–3. DOI: 10.1109/TCSII.2001.913180
  5. BLALOCK, J., ALLEN, P. E., RINCON-MORA, G. A. Designing 1-V op amps using standard digital CMOS technology. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Jul 1998, vol. 45, no. 7, p. 769–780. DOI: 10.1109/82.700924
  6. DUQUE-CARRILLO, J. F., AUSIN, J. L., TORELLI, G., et al. 1-V rail-to-rail operational amplifiers in standard CMOS technology. IEEE Journal of Solid-State Circuits, Jan 2000, vol. 35, no. 1, p. 33–44. DOI: 10.1109/4.818918
  7. BASHIR, M., RAO, P. S., KRISHNAPRASAD, K. S. R. 0.5 V, high gain two-stage operational amplifier with enhanced transconductance. International Journal of Electronics Letters, 2017, p. 1–10. DOI: 10.1080/21681724.2017.1293170
  8. ZANBAGHI, R., SAXENA, S., TEMES, G. C., et al. A 75dB SNDR, 10 MHz conversion bandwidth stage-shared 2-2 MASH ΔΣ modulator dissipating 9mW. In IEEE Custom Integrated Circuits Conference (CICC). San Jose (CA, USA), 2011, p. 1–4. DOI: 10.1109/CICC.2011.6055287
  9. LEE, I., KIM, B., LEE, B. G. A low-power incremental delta– sigma ADC for CMOS image sensors. IEEE Transactions on Circuits and Systems II: Express Briefs, April 2016, vol. 63, no. 4, p. 371–375. DOI: 10.1109/TCSII.2015.2503706
  10. CHAE, Y., HAN, G. Low voltage, low power, inverter-based switched-capacitor delta-sigma modulator. IEEE Journal of SolidState Circuits, Feb. 2009, vol. 44, no. 2, p. 458–472. DOI: 10.1109/JSSC.2008.2010973
  11. YEO, J., CHOI, Y., ROH, J., et al. A current regulator for inverterbased massively column-parallel ƩΔ ADCs. IEEE Transactions on Circuits and Systems II: Express Briefs, April 2014, vol. 61, no. 4, p. 224–228. DOI: 10.1109/TCSII.2014.2305215
  12. MICHEL, F., STEYAERT, M. S. J. A 250 mV 7.5 μW 61 dB SNDR SC ΔΣ modulator using near-threshold-voltage-biased inverter amplifiers in 130 nm CMOS. IEEE Journal of Solid-State Circuits, March 2012, vol. 47, no. 3, p. 709–721. DOI: 10.1109/JSSC.2011.2179732
  13. CHAE, Y., CHEUNG, J., LIM, S., et al. A 2.1 M pixels, 120 frame/s CMOS image sensors with column-parallel ƩΔ ADC architecture. IEEE Journal of Solid-State Circuits, 2011, vol. 55, no. 5, p. 236–247. DOI: 10.1109/JSSC.2010.2085910
  14. TANG, F., WANG, B., BERMAK, A. 80 dB dynamic range 100 kHz bandwidth inverter based ƩΔ ADC for CMOS image sensors. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). Seoul (South Korea), 2012, p. 3094–3097. DOI: 10.1109/ISCAS.2012.6271975
  15. LOU, H., HAN, Y., CHEUNG, R.C.C., et al. A 0.8-V 230-µW 98-dB DR inverter-based ƩΔ modulator for audio applications. IEEE Journal of Solid-State Circuits, 2013, vol. 48, no. 10, p. 2430–2441. DOI: 10.1109/JSSC.2013.2275659
  16. ESSAWY, A., ISMAIL, A. A low voltage inverter-based continuous-time sigma delta analog-to-digital converter in 65 nm CMOS technology. In Proceedings of IEEE Faible Tension Faible Consommation (FTFC). Monaco, 2014, p. 1–4. DOI: 10.1109/FTFC.2014.6828599
  17. NOWACKI, B., PAULINO, N., GOES, J. A low power fourth order MASH switched-capacitor ΣΔ modulator using ultra incomplete settling. In IEEE International Symposium on Circuits and Systems (ISCAS). Melbourne (Australia), 2014, p. 1344–1347. DOI: 10.1109/ISCAS.2014.6865392
  18. YOON, Y., ROH, H., LEE, H., et al. A 0.6-V, 540-nW delta-sigma modulator for biomedical sensors. Analog Integrated Circuits and Signal Processing, May 2013, vol. 75, p. 323–327. DOI: 10.1007/s10470-013-0053-7
  19. MEDEIRO, F., PEREZ-VERDU, B., RODRIGUEZ-VAZQUEZ, A. Top-Down Design of High-Performance Sigma-Delta Modulators. 1st ed. Norwood (MA, USA): Kluwer, 1999. ISBN:978-1-4757-3003-6
  20. DE LA ROSA, J. M. Sigma-delta modulators: Tutorial overview, design guide, and state-of-the-art survey. IEEE Transactions on Circuits and Systems I: Regular Papers, Jan 2011, vol. 58, no. 1, p. 1–21. DOI: 10.1109/TCSI.2010.2097652
  21. DE LA ROSA, J. M., DEL RIO, R. CMOS Sigma-Delta Converters: Practical Design Guide. United Kingdom: John Wiley & Sons Ltd, 2013. ISBN: 978-1-119-97925-8
  22. BASHIR, M., RAO PATRI, S., KRISHNAPRASAD, K. S. R. MATLAB/SIMULINK based time-domain behavioral modeling of sigma-delta converters. In International Conference on Computational Techniques in Information and Communication Technologies (ICCTICT). New Delhi (India), 2016, p. 132–136. DOI: 10.1109/ICCTICT.2016.7514566
  23. RIO FERNANDEZ, R., MEDEIRO HIDALGO, R., PEREZVERDU, B., et al. CMOS Cascade Sigma-Delta Modulators for Sensors and Telecom. Springer, 2006. ISBN: 978-1-4020-4776-3
  24. SCHREIER, R. The Delta-Sigma Toolbox v. 7.3, 2009. [Online]. Cited 2017-03-05. Available at: http: //www.mathworks.com/matlabcentral/
  25. WU, L., KESKIN, M., MOON, U., TEMES, G. Efficient commonmode feedback circuits for pseudo-differential switched-capacitor stages. In IEEE International Symposium on Circuits and Systems (ISCAS). Geneva (Switzerland), 2000, vol. 5, p. 445–448. DOI: 10.1109/ISCAS.2000.857467
  26. SILVA, J., MOON, U., STEENSGAARD, J., et al. Wideband lowdistortion delta-sigma ADC topology. Electronics Letters, 2001, vol. 37, p. 737–738. DOI: 10.1049/el:20010542
  27. SAUERBREY, J., TILLE, T., SCHMITT-LANDSIEDEL, D., et al. A 0.7-V MOSFET-only switched-opamp ΔΣ modulator in standard digital CMOS technology. IEEE Journal of Solid-State Circuits, 2002, vol. 37, no. 12, p. 1662–1669. DOI: 10.1109/JSSC.2002.804330
  28. SUADET, A., KASEMSUWAN, V. A CMOS inverter-based class AB pseudo-differential amplifier with current-mode commonmode feedback (CMFB). Analog Integrated Circuits and Signal Processing, 2013, vol. 74, no. 2, p. 387–398. DOI: 10.1007/s10470-012-9970-0
  29. WICHT, B., NIRSCHL, T., SCHMITT-LANDSIEDEL, D. Yield and speed optimization of a latch-type voltage sense amplifier. IEEE Journal of Solid-State Circuits, July 2004, vol. 39, no. 7, p. 1148–1158. DOI: 10.1109/JSSC.2004.829399
  30. GOLL, B., ZIMMERMANN, H. Comparators in Nano CMOS Technology. New York (USA): Springer, 2015. ISBN: 978-3-662- 44482-5
  31. CARUSONE, T. C., JOHNS, D., MARTIN, K. Analog Integrated Circuit Design. 2nd ed. New York (USA): Wiley, 2012. ISBN: 978- 1-118-09233-0

Keywords: Analog front end, CMOS image sensor, sigma-delta modulator, signal-to noise ratio, switch capacitor circuits, gain boosted technology, dynamic element matching

S. Rebelli, B. R. Nistala [references] [full-text] [DOI: 10.13164/re.2018.0532] [Download Citations]
An Efficient MRTD Model for the Analysis of Crosstalk in CMOS-Driven Coupled Cu Interconnects

This paper presents an efficient wavelet based numerical method for analyzing functional and dynamic crosstalk of CMOS driven coupled copper (Cu) interconnects known as Multi-Resolution Time Domain (MRTD),wherein, the CMOS drivers are modeled using nth-power law model. The performance of the proposed MRTD method is evaluated through recursive simulations in HSPICE environment and compared with the conventional Finite Difference Time Domain (FDTD) method at 32-nm technology node for global interconnects of length 1mm, where the computations of the proposed model and conventional FDTD are carried out using MATLAB. For different number of test cases, the proposed MRTD method gives an average error of 0.14 % and 1.9 % for peak crosstalk noise and peak noise timing, respectively, with respect to HSPICE results. Also, the dynamic crosstalk noise on victim line of the proposed MRTD method are in close agreement with those of HSPICE. The results show the dominance of the proposed MRTD method over the conventional FDT method regarding accuracy. The proposed MRTD method is also extended for three-mutuallycoupled interconnect lines for crosstalk analysis, with an average error less than 1 % when compared to that of more than 3 % using the conventional FDTD method. Moreover, for the transient analysis, the MRTD method is more time efficient than HSPICE.

  1. RABAEY, J. M., CHANDRAKASAN, A., NIKOLIC, B. Digital Integrated Circuits, A Design Perspective. 2nd ed., Englewood Cliffs (US): Prentice-Hall, 2003. ISBN: 0130909963
  2. AGARWAL, K., SYLVESTER, D., BLAAUW, D. Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, vol. 25, no. 5, p. 892–901.DOI: 10.1109/TCAD.2005.855961
  3. CUI, J. P., ZHAO, W. S., YIN, W. Y., et al. Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects. IEEE Transactions on Electromagnetic Compatibility , 2012, vol. 54, no. 1, p. 126–132. DOI: 10.1109/TEMC.2011.2172947
  4. KANG, S.-M., LEBLEBICI, Y. CMOS Digital Integrated Circuits: Analysis and Design . 3rd ed., New York (US): McGraw-Hill, 2003. ISBN: 0-07-246053-9
  5. KAUSHIK, B. K., SARKAR, S. Crosstalk analysis for a CMOSgate-driven coupled interconnects. IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, 2008, vol. 27, no. 6, p. 1150–1154. DOI: 10.1109/TCAD.2008.923259
  6. LI, X. C., MAO, J. F., SWAMINATHAN, M. Transient analysis of CMOS-gate-driven RLGC interconnects based on FDTD. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011, vol. 30, no. 4, p. 574–583. DOI: 10.1109/TCAD.2010.2095650
  7. KAUSHIK, B. K., SARKAR, S., AGARWAL, R. P., et al. An analytical approach to dynamic crosstalk in coupled interconnects. Microelectronics Journal, 2010, vol. 41, no. 2, p. 85–92. DOI: 10.1016/j.mejo.2009.12.011
  8. VOBULAPURAM, R. K., KAUSHIK, B. K., AMALENDU, P. An accurate model for dynamic crosstalk analysis of CMOS gate driven on-chip interconnects using FDTD method. Microelectronics Journal, 2014, vol. 45, no. 4, p. 441–448. DOI: 10.1016/j.mejo.2014.02.004
  9. VOBULAPURAM, R. K., KAUSHIK, B. K., AMALENDU, P. An accurate FDTD model for crosstalk analysis of CMOS gate driven coupled RLC interconnects. IEEE Transactions on Electromagnetic Compatibility, 2014, vol. 56, no. 5, p. 1185–1193. DOI: 10.1109/TEMC.2014.2305801
  10. SAKURAI, T., NEWTON, A. R. A simple MOSFET model for circuit analysis. IEEE Transactions on Electron Devices, 1991, vol. 38, no. 4, p. 887–894. DOI: 10.1109/16.75219
  11. PAUL, C. R. Incorporation of terminal constraints in the FDTD analysis of transmission lines. IEEE Transactions on Electromagnetic Compatibility, 1994, vol. 36, no. 2, p. 85–91. DOI: 10.1109/15.293284
  12. IBRAHIM, M., MICHEL, M.N. Computational Electromagnetics— Retrospective and Outlook. Singapore: Springer Singapore, 2015. (A hybrid MRTD–FDTD technique for efficient field computation.) ISBN: 978-981-287-095-7
  13. KRUMPHOLZ, M., KATEHI, L. P. B. MRTD: new time-domain schemes based on multiresolution analysis. IEEE Transactions on Microwave Theory and Techniques, 1996, vol. 44, no. 4, p. 555–571. DOI: 10.1109/22.491023
  14. TENTZERIS, E. M., ROBERTSON, R. L., HARVEY, J. F., et al. Stability and dispersion analysis of Battle-Lemarie-based MRTD schemes. IEEE Transactions on Microwave Theory and Techniques, 1999, vol. 47, no. 7, p. 1004–1013. DOI: 10.1109/22.775432
  15. GRIVET-TALOCIA, S. On the accuracy of Haar-based multiresolution time-domain schemes. IEEE Microwave and Guided Wave Letters, 2000, vol. 10, no. 10, p. 397–399. DOI: 10.1109/75.877224
  16. FUJII, M., HOEFER, W. J. R. Dispersion of time domain wavelet Galerkin method based on Daubechies’ compactly supported scaling functions with three and four vanishing moments. IEEE Microwave and Guided Wave Letters, 2000, vol. 10, no. 4, p. 125–127. DOI: 10.1109/75.846920
  17. ALIGHANBARI, A., SARRIS, C. D. Dispersion properties and applications of the Coifman scaling function based S-MRTD. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 8, p. 2316–2325. DOI: 10.1109/TAP.2006.879194
  18. TONG, Z., SUN, L., LI, Y., et al. Multiresolution time-domain scheme for terminal response of two-conductor transmission lines. Mathematical Problems in Engineering, 2016, p. 15. Article ID: 8045749. DOI: 10.1155/2016/8045749
  19. PAN, G. W. Wavelets in Electromagnetics and Device Modeling. Hoboken (US): John Wiley & Sons, 2003. ISBN: 0-471-41901-X
  20. DOGARU, T., CARIN, L. Multiresolution time-domain algorithm using CDF biorthogonal wavelets. IEEE Transactions on Microwave Theory and Techniques, 2001, vol. 49, no. 5, p. 902–912. DOI: 10.1109/22.920147
  21. DAVIS, J. A., MEINDL, J. D. Compact distributed RLC interconnect models-Part II: Coupled line transient expressions and peak crosstalk in multilevel networks.IEEE Transactions on Electron Devices, 2000, vol. 47, no. 11, p. 2078–2087. DOI: 10.1109/16.877169

Keywords: CMOS Driver, Cu interconnects, peak crosstalk noise, delay, MRTD, FDTD, HSPICE

Yasir, N. Wu, X. Chen, M. Rehan Yahya [references] [full-text] [DOI: 10.13164/re.2018.0541] [Download Citations]
Area-Efficient Hardware Architectures of MISTY1 Block Cipher

In this paper, state-of-the-art hardware implementations of MISTY1 block cipher are presented for area-constrained wireless applications. The proposed MISTY1 architectures are characterized of highly optimized transformation functions i.e. FL and {FO-XOR-EKG}. The FL function re-utilizes logic AND-OR-XOR combinations whereas {FO-XOR-EKG} function explores 2 × compact design schemes for s-boxes implementation. A Combined Substitution Unit (CSU) and threshold area implementation are proposed for s-boxes based on Boolean reductions and Common Sub-expression Eliminations (CSEs). Besides, {FO-XOR-EKG} function is designed for manifold operations of FO / FI functions, 32-bit XOR operation and extended key generation thereby reducing the area. Hardware implementations on ASIC 180nm, 1.8V standard library cell realized compact and threshold MISTY1 designs constituting 1853 and 1546 NAND gates with throughput values of 41.6 Mbps and 4.72 Mbps respectively. A comprehensive comparison with existing cryptographic hardware designs establishes that the proposed MISTY1 architectures are the most area-efficient implementations till date.

  1. MATSUI, M. New block encryption algorithm MISTY. Lecture Notes in Computer Science, 1997, vol. 1267, p. 54–68. DOI: 10.1007/BFb0052334
  2. MATSUI, M. New structure of block ciphers with provable security against differential and linear cryptanalysis. In Proceedings of the 3rd International Workshop on Fast Software Encryption FSE. Cambridge (UK), 1996, p. 205–218. ISBN: 3-540-60865-6
  3. DUNKELMAN, O., KELLER, N. Practical-time attacks against reduced variants of MISTY1. Designs Codes and Cryptography, 2015, vol. 76, no. 3, p. 601–627. DOI: 10.1007/s10623-014-9980-2
  4. TODO, Y. Integral cryptanalysis on full MISTY1. Journal of Cryptology, 2017, vol. 30, no. 3, p. 920–959. DOI: 10.1007/s00145-016-9240-x
  5. YASIR, WU, N., ZHANG, X. Compact hardware implementations of MISTY1 Block Cipher. Journal of Circuit Systems and Computers, 2017, vol. 27, no. 3. DOI: 10.1142/S0218126618500378
  6. YASIR, WU, N., ZHANG, X. Highly optimized reconfigurable hardware architecture of 64-bit block ciphers MISTY1 and KASUMI. IET Electronics Letters, 2017, vol. 53, no. 1, p. 10–11. DOI: 10.1049/el.2016.3982
  7. YAMAMOTO, D., YAJIMA, J., ITOH, K. Compact architecture for ASIC implementation of MISTY1 block cipher. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010, vol. E93-A, no. 1, p. 3–12. DOI: 10.1587/transfun.E93.A.3
  8. RJOUB, A., GHABASHNEH, E. M. Low power / high speed optimization approaches of MISTY algorithm. In IEEE 5th International Conference on Electronic Devices, Systems and Applications. United Arab Emirates, 2016. ISBN: 978-1-5090-5306-3
  9. YAMAMOTO, D., YAJIMA, J., ITOH, K. A very compact hardware implementation of MISTY1 block cipher. In Proceedings of 10th International Conference on Cryptographic Hardware and Embedded Systems CHES 2008. Washington (USA), 2008, p. 315–330. ISBN:978-3-540-85052-6
  10. KITSOS, P., GALANIS, M. D., KOUFOPAVLOU, O. Architectures and FPGA implementation of 64 bit MISTY1 block cipher. Journal of Circuit Systems and Computers, 2006, vol. 15, no. 6, p. 817–831. DOI: 10.1142/S0218126606003362
  11. YASIR, WU, N., CHEN, X., et al. FPGA based highly efficient MISTY1 architecture. IEICE Electronics Express, 2017, vol. 14, no. 18, p. 20170841. DOI: 10.1587/elex.14.20170841
  12. YAMAMOTO, D., ITOH, K., YAJIMA, J. Compact architecture for ASIC and FPGA implementation of KASUMI block cipher. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2011, vol. E94-A, p. 2628–2638. DOI: org/10.1587/transfun.E94.A.2628
  13. YASIR, WU, N., SIDDIQUI, A. A. Performance comparison of KASUMI and H/W architecture optimization of f8 and f9 algorithms for 3g UMTS networks. In Proceedings of 2017 14th International Bhurban Conference on Applied Sciences and Technology (IBCAST). Islamabad (Pakistan), 2017, p. 420–424. DOI: 10.1109/IBCAST.2017.7868088
  14. VAN LAN DAO, ANH-THAI NGUYEN, VAN-PHUC HOANG. An ASIC implementation of low area AES encryption core for wireless networks. In International Conference on Communications, Management and Telecommunications. DaNang (Vietnam), 2015, p. 99–102. DOI: 10.1109/ComManTel.2015.7394268
  15. SANU, M., SATPATHY, S., SURESH, V. 340 mV–1.1 V 289Gbps/W, 2090-gate nano AES H/W accelerator with areaoptimization encryption / decryption GF (2^4)^2 polynomial in 22nm tri-gate CMOS. IEEE Journal of Solid-State Circuits, 2015, vol. 50, no. 4, p. 1048–1058. DOI: 10.1109/JSSC.2014.2384039
  16. VAN-PHUC HOANG, THI-THANH-DUNG PHAN, VAN LAN DAO. A compact, ultra-low power AES-CCM IP core for wireless body area networks. In 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC). Tallinn (Estonia), 2016, p. 1–4. DOI: 10.1109/VLSI-SoC.2016.7753566
  17. MORADI, A., POSCHMANN, A., LING, S., et al. Pushing the limits: A very compact and a threshold implementation of AES. In 30th Annual International Conference on the Theory and Applications of Cryptographic Techniques. Tallinn (Estonia), 2011, p. 69–88. ISBN: 978-3-642-20464-7
  18. OMRAN, S. S., JUMMA, L. F. Design of SHA-1 & SHA-2 MIPS processor using FPGA. In 2017 Annual Conference on New Trends in Information and Communications Technology Applications (NTICT). Baghdad (Iraq), 2017, p. 268–273. DOI: 10.1109/NTICT.2017.7976113
  19. LIU, Q., XU, Z., YANG, Y. High throughput and secure AES on FPGA with fine pipelining and enhanced key expansion. IET Computers & Digital Techniques, 2015, vol. 9, no. 3, p. 175–184. DOI: 10.1049/iet-cdt.2014.0101
  20. MARTINEZ-HERRERA, A. F., MANCILLAS-LOPEZ, C., MEX-PERERA, C. GCM implementation of Camellia-128 and SMS4 by optimizing the polynomial multiplier. Microprocessors and Microsystems, 2016, vol. 45, p. 129–140. DOI: 10.1016/j.micpro.2016.04.006
  21. KAVUN, E. B., YALCIN, T. A pipelined camellia architecture for compact hardware implementation. In 2010 21st IEEE International Conference on Application-specific Systems Architectures and Processors (ASAP). Rennes (France), 2010, p. 305–308. DOI: 10.1109/ASAP.2010.5540987
  22. JUNG, E. G., HAN, D., LEE, J. G. Low area and high speed SHA1 implementation. In 2011 International SoC Design Conference. Jeju (South Korea), 2011, p. 365–367. DOI: 10.1109/ISOCC.2011.6138786

Keywords: MISTY1, ASICs, wireless communications, S-box, common sub-expression elimination

D. Singh, R. K. Sarin [references] [full-text] [DOI: 10.13164/re.2018.0549] [Download Citations]
A First-Order Primal-Dual Method for Saddle Point Optimization of PAPR Problem in MU-MIMO-OFDM Systems

This paper investigates the use of a particular splitting-based optimization technique for constrained l∞-norm based peak-to-average power ratio (PAPR) reduction problem in multiuser orthogonal frequency-division multiplexing (OFDM) based multiple-input multi-output (MIMO) systems. PAPR reduction and multi-user interference (MUI) cancelation are considered in a saddle-point formulation on the downlink of a multi-user MIMO-OFDM system and an efficient primal-dual hybrid gradient (PDHG) inspired algorithm with easy-to-evaluate proximal operators is developed. The proposed algorithm converges significantly faster to satisfactory solutions with much improved asymptotical convergence rate than existing methods. Numerical results illustrate the superior performance of the proposed algorithm over existing methods in terms of PAPR reduction for different MIMO configurations.

  1. MARZETTA, T. L. Noncooperative cellular wireless with unlimited numbers of base station antennas. IEEE Transactions on Wireless Communications, 2010, vol. 9, no. 11, p. 3590–3600. DOI: 10.1109/TWC.2010.092810.091092
  2. BJORNSON, E., LARSSON, E. G., MARZETTA, T. L. Massive MIMO: Ten myths and one critical question. IEEE Communications Magazine, 2016, vol. 54, no.2, p. 114-123. DOI: 10.1109/MCOM.2016.7402270
  3. SHUMINOSKI, T., JANEVSKI, T. 5G terminals with multistreaming features for real-time mobile broadband applications. Radioengineering, 2017, vol. 26, no. 2, p. 470–478. DOI: 10.13164/re.2017.0470
  4. RAIDA, Z., KOLKA, Z., MARSALEK, R., PETRZELA, J., et al. Communication subsystems for emerging wireless technologies. Radioengineering, 2012, vol. 21, no. 4, p. 1036–1049. ISSN: 1210-2512
  5. ANGELIS, C. T., CHRONOPOULOS, S. K. System performance of an LTE MIMO downlink in various fading environments. In International Conference on Ambient Media and Systems (AMBISYS). Porto (Portugal), 2011, p. 36–43. DOI: 10.1007/978-3-642- 23902-1_5
  6. WUNDER, G., FISCHER, R.F.H., BOCHE, H., et al. The PAPR problem in OFDM transmission: New directions for a long-lasting problem. IEEE Signal Processing Magazine, 2013, vol. 30, no. 6, p. 130–144. DOI: 10.1109/MSP.2012.2218138
  7. CHRONOPOULOS, S., TATSIS, G., RAPTIS, V., KOSTARAKIS, P. Enhanced PAPR in OFDM without deteriorating BER performance. International Journal of Communications, Network and System Sciences, 2011, vol. 4, no. 3, p. 164–169. DOI: 10.4236/ijcns.2011.43020
  8. CHRONOPOULOS, S., CHRISTOFILAKIS, V., TATSIS, G., KOSTARAKIS, P. Reducing peak-to-average power ratio of a turbo coded OFDM. Wireless Engineering and Technology, 2012, vol. 3, no. 4, p. 195–202. DOI: 10.4236/wet.2012.34028
  9. HAO, M. J., LAI, C. H. Precoding for PAPR reduction of OFDM signals with minimum error probability. IEEE Transactions on Broadcasting, 2010, vol. 56, no. 1, p. 120–128. DOI: 10.1109/TBC.2009.2034512
  10. LI, X., CIMINI, L. J. Effects of clipping and filtering on the performance of OFDM. IEEE Communication Letters, 1998, vol. 2, no. 5, p. 131–133. DOI: 10.1109/4234.673657
  11. ALAVIA, A., TELLAMBURA, C., FAIR, I. PAPR reduction of OFDM signals using partial transmit sequence: An optimal approach using sphere decoding. IEEE Communication Letters, 2005, vol. 9, no. 11, p. 982–984. DOI: 10.1109/ LCOMM. 2005. 11014
  12. CHEN, J.-C., CHIU, M.-H., YANG, Y.-S., et al. A suboptimal tone reservation algorithm based on cross-entropy method for PAPR reduction in OFDM systems. IEEE Transactions on Broadcasting, 2011, vol. 57, no. 3, p. 752–756. DOI: 10.1109/TBC.2011.2127590
  13. BAUML, R. W., FISCHER, R. F. H., HUBER, J. B. Reducing the peak-to-average power ratio of multicarrier modulation by selected mapping. IEEE Electronics Letters, 1996, vol. 32, no. 22, p. 2056–2057. DOI: 10.1049/el:19961384
  14. KRONGOLD, B. S., JONES, D. L. PAR reduction in OFDM via active constellation extension. IEEE Transactions on Broadcasting, 2003, vol. 49, no. 3, p. 258–268. DOI: 10.1109/ TBC.2003.817088
  15. ZHU, X. A low-BER clipping scheme for PAPR reduction in STBC MIMO-OFDM system. Wireless Personal Communications, 2012, vol. 65, no. 2, p. 335–346. DOI: 10.1007/s11277-011-0259-x
  16. KU, S-J. Low-complexity PTS-based schemes for PAPR reduction in SFBC MIMO-OFDM systems. IEEE Transactions on Broadcasting, 2014, vol. 60, no. 4, p. 650–658. DOI: 10.1109/TBC.2014.2364966
  17. MANASSEH, E., OHNO, S., NAKAMOTO, M. Combined channel estimation and PAPR reduction technique for MIMO– OFDM systems with null subcarriers. EURASIP Journal on Wireless Communications and Networking, 2012, vol. 2012, no. 1, p. 1–15. DOI: 10.1186/10.1186/1687-1499-2012-201
  18. JIANG, T., NI, C., GUAN, L. A novel phase offset SLM scheme for PAPR reduction in Alamouti MIMO -OFDM systems without side information. IEEE Signal Processing Letters, 2013, vol. 20, no. 4, p. 383–386. DOI: 10.1109/LSP.2013.2245119
  19. STUDER, C., LARSSON, E. G. PAR-aware large-scale multi-user MIMOOFDM downlink. IEEE Journal on Selected Areas in Communications, 2013, vol. 31, no. 2, p. 303–313. DOI: 10.1109/JSAC.2013.130217
  20. BAO, H., FANG, J., CHEN, Z., et al. Perturbation-assisted PAPR Reduction for Large-scale MIMO-OFDM Systems via ADMM. 2016, 9 p. [Online] Available at: https://arxiv.org/abs/1607.02681
  21. PRABHU, H., EDFORS, O., RODRIGUES, J., et al. A low complex peak-to-average power reduction scheme for OFDM based massive MIMO systems. In 6th International Symposium on Communications, Control and Signal Processing (ISCCSP). Athens, Greece, 2014, p. 114–117. DOI: 10.1109/ISCCSP.2014.6877829
  22. CHEN, J., WANG, C., WONG, K., et al. Low-complexity precoding design for massive multiuser MIMO systems using approximate message passing. IEEE Transactions on Vehicular Technology, 2016, vol. 65, no. 7, p. 5707–5714. DOI: 10.1109/TVT.2015.2457450
  23. BAO, H., FANG, J., LI, H., CHEN, Z., et al. An efficient Bayesian PAPR reduction method for OFDM-based massive MIMO systems. IEEE Transactions on Wireless Communications, 2016, vol. 15, no. 6, p. 4183–4195. DOI: 10.1109/TWC.2016.2536662
  24. ZHU, M., CHAN, T. An efficient primal-dual hybrid gradient algorithm for total variation image restoration. UCLA CAM Technical Report, 2008, p. 08–34.
  25. JOHAM, M., UTSCHICK, W., NOSSEK, J. Linear transmit processing in MIMO communications systems. IEEE Transactions on Signal Processing, 2005, vol. 53, no. 8, p. 2700–2712. DOI: 10.1109/TSP.2005.850331
  26. SEETHALER, D., BOLCSKEI, H. Performance and complexity analysis of infinite-norm sphere coding. IEEE Transactions on Information Theory, 2010, vol. 56, no. 3, p. 1085–1105. DOI: 10.1109/TIT.2009.2039034
  27. STUDER, C., GOLDSTEIN, T., YIN, W., et al. Democratic Representations. 2015, 43 p. [Online] Available at: https://arxiv.org/abs/1401.3420
  28. HE, B., YUAN, X. Convergence analysis of primal-dual algorithms for a saddle-point problem: From contraction perspective. SIAM Journal on Imaging Sciences, 2012, vol. 5, no. 1, p. 119–149. DOI: 10.1137/100814494
  29. CONDAT, L. A primal-dual splitting method for convex optimization involving Lipschitzian, proximable and linear composite terms. Journal of Optimization Theory and Applications, 2013, vol. 158, no. 2, p. 460–479. DOI: 10.1007/s10957-012-0245-9
  30. GOLDSTEIN, T., ESSER, E., BARANIUK, R. Adaptive PrimalDual Hybrid Gradient Methods for Saddle-point Problems. 2015, 24 p. [Online] Available at: https://arxiv.org/abs/1305.0546
  31. PARIKH, N., BOYD, S. Proximal algorithms. Foundations and Trends in Optimization, 2014, vol. 1, no. 3, p. 127–239. DOI: 10.1561/2400000003
  32. CHAMBOLLE, A., VORE, R. D., LEE, N.-Y., et al. Nonlinear wavelet image processing: Variational problems, compression, and noise removal through wavelet shrinkage. IEEE Transactions on Image Processing, 1998, vol. 7, no. 3, p. 319–335. DOI: 10.1109/83.661182
  33. DUCHI, J., SHALEV-SHWARTZ, S., SINGER, Y., et al. Efficient projections onto the ℓ1-ball for learning in high dimensions. In Proceedings of the 25th International Conference on Machine Learning. Helsinki (Finland), 2008, p. 272–279. DOI: 10.1145/1390156.1390191
  34. BERG, E. V. D., FRIEDLANDER, M. P. Probing the Pareto frontier for basis pursuit solutions. SIAM Journal on Scientific Computing, 2008, vol. 31, no. 2, p. 890–912. DOI: 10.1137/080714488
  35. BERG, E. V. D., SCHMIDT, M., FRIEDLANDER, M. P., et al. Group Sparsity via Linear Time Projection. Dept. of Computer Science, University of British Columbia, 2008, Tech. Rep. TR2008-09.
  36. IEEE 802.11 WORKING GROUP. Part 11: wireless LAN medium access control (MAC) and physical layer (PHY) specifications, amendment 5: enhancements for higher throughput. IEEE 802.11n, 2009.
  37. SCHLEGEL, C., PEREZ, L. Trellis representations. In Trellis and Turbo Coding. John Wiley, 2003, p. 103–157. ISBN: 0471227552
  38. PARSONS, J. D. Wideband channel characterisation. In The Mobile Radio Propagation Channel. 2nd ed., Wiley, 2000, p. 164–189. ISBN: 978-0-471-98857-1.
  39. OCHIAI, H., IMAI, H. On the distribution of the peak-to-average power ratio in OFDM signals. IEEE Transactions on Communications, 2001, vol. 49, no. 2, p. 282–289. DOI: 10.1109/26.905885

Keywords: MIMO-OFDM, peak-to-average power ratio (PAPR) reduction, saddle point problem, convex optimization

S. Chebir, S. Aidel, K. Rouabah, S. Attia, M. Flissi [references] [full-text] [DOI: 10.13164/re.2018.0557] [Download Citations]
GNSS Signals Acquisition and Tracking in Unfavorable Environment

In this paper, we propose a method based on applying specific transformations to the Global Navigation Satellite System (GNSS) signals received in unfavorable environment. As a result, one simple classical receiver including these adjustments becomes sensitive to several Multi-Constellation and Multi-Frequency (MC/MF) GNSS signals and achieves efficiently their collective acquisition. The proposed method consists of three variants each dedicated to a particular type of Binary Offset Carrier (BOC) family signals; the primary is based on undersampling process, the second is founded on time expansion and the last one permits the acquisition of more than five different GNSS signals by a single local Composite Binary Coded Symbols (CBCS) waveform replica. Hence, the proposed scheme, by avoiding the use of multiple demodulators in the baseband, allows less receiver complexity and accordingly better realization cost. The simulation results showed that the proposed method presents an effective solution for the reception of MC/MF signals in unfavorable environments.

  1. KAPLAN, E. D., HEGARTY, C. J. Understanding GPS: Principles and Applications. 2nd ed., rev. London (UK): Artech House, 2006. ISBN: 9781580538947
  2. BETZ, J. W. Binary offset carrier modulations for radionavigation. Navigation, Journal of the Institute of Navigation, 2002, vol. 48, no. 4, p. 227–246. DOI: 10.1002/j.2161-4296.2001.tb00247.x
  3. HEIN, G. W., AVILA-RODRIGUEZ, J. A., WALLNER, S., et al. MBOC: The new optimized spreading modulation recommended for Galileo L1 OS and GPS L1C. Inside GNSS, 2006, vol. 1, no. 4, p. 57–65.
  4. AVILA-RODRIGUEZ, J. A., WALLNER, S., HEIN, G. W., et al. CBOC: An implementation of MBOC. In CNES-ESA, 1st Workshop on GALILEO Signals and Signal Processing. Toulouse (France), 2006. 10 p.
  5. AVILA-RODRIGUEZ, J. A. On Generalized Signal Waveforms for Satellite Navigation. Ph.D. Dissertation. University FAF Munich, 2008.
  6. HEGARTY, C., BETZ, J. W., SAIDI, A. Binary coded symbol modulations for GNSS. In Proceedings of the 60th Annual Meeting of the Institute of Navigation. Dayton (OH, USA), 2004, p. 56–64.
  7. HEIN, G. W., AVILA-RODRIGUEZ, J. A., RIES, L., et al. A candidate for the Galileo L1 OS optimized signal. In Proceedings of the 18th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GNSS 2005). Long Beach (CA, USA), 2005, p. 833–845.
  8. FLISSI, M., ROUABAH, K., CHIKOUCHE, D., et al. Performance of new BOC-AW-modulated signals for GNSS system. Eurasip Journal of Wireless Communications and Networking, 2013, no. 124, 18 p. DOI: 10.1186/1687-1499-2013-124
  9. FLISSI, M., ROUABAH, K., ATIA, S., et al. Consistent BCS modulated signals for GNSS applications. IET Signal Processing, 2017, vol. 11, no. 4, p. 415–421, DOI: 10.1049/iet-spr.2016.0200
  10. PARKINSON, B. W., SPILKER, J. J., AXELRAD, P., ENGE, P. Global Positioning System: Theory and Applications. 1st ed. American Institute of Aeronautics and Astronautics, 1996, vol. 1, p. 793. ISBN: 1-56347-106-X
  11. RAMA KRISHNA RAO, B., SARMA, A. D., RAVI KUMAR, Y. Technique to reduce multipath GPS signals. Current Science, 2006, vol. 90, no. 2, p. 207–211.
  12. CHUNG, L. C., JUANG, J. C. An adaptive multipath mitigation filter for GNSS applications. Eurasip Journal on Advances in Signal Processing, 2008, 10 p. DOI: 10.1155/2008/214815
  13. IRSIGLER, M., EISSFELLER, B. Comparison of multipath mitigation techniques with consideration of future signal structures. In Proceedings of the 16th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GPS/GNSS 2003). Portland (OR, USA), 2003, p. 2584–2592.
  14. VAN DIERENDONCK, A. J., FENTON, P., FORD, T. Theory and performance of narrow correlator spacing in a GPS receiver. Navigation: Journal of the Institute of Navigation, 1992. vol. 39, no. 3, p. 265–283. DOI: 10.1002/j.2161-4296.1992.tb02276.x
  15. ROUABAH, K., CHIKOUCHE, D., BOUTTOUT, F., et al. GPS/Galileo multipath mitigation using the first side peak of double delta correlator. Wireless Personal Communications, 2011, vol. 60, no. 2, p. 321–333. DOI: 10.1007/s11277-010-9946-2
  16. SAHMOUDI, M., LANDRY, R. J. Multipath mitigation techniques using maximum-likelihood principle. Inside GNSS, 2008, vol. 3, no. 8, p. 24–29.
  17. VAN NEE, R. SIEREVELD, J. FENTON, P., TOWNSEND, B. The multipath estimating delay lock loop: approaching theoretical accuracy limits. In Proceedings of the IEEE Position Location and Navigation Symposium. Las Vegas (NV, USA), 1994, p. 246–251. DOI: 10.1109/PLANS.1994.303320
  18. HU, Y., SONG, M., MENG, B., et al. An efficient method for GPS multipath mitigation using the Teager-Kaiser-operator-based MEDLL. Radioengineering, 2013, vol. 22, no. 4, p. 1202–1210.
  19. SAHMOUDI, M., AMIN, M. G. Fast Iterative MaximumLikelihood Algorithm (FIMLA) for multipath mitigation in the next generation of GNSS receivers. IEEE Transactions on Wireless Communications, 2008, vol. 7, no. 11, p. 4362–4374. DOI: 10.1109/T-WC.2008.070700
  20. ZHANG, Z., LAW, C. L. Short-delay multipath mitigation technique based on virtual multipath. IEEE Antennas and Wireless Propagation Letters, 2005, vol. 4, p. 344–348. DOI: 10.1109/LAWP.2005.857038
  21. NUNES, F. D., SOUSA, F. M. G., LEITAO, J. M. N. Gating functions for multipath mitigation in GNSS BOC signals. IEEE Transactions on Aerospace and Electronic Systems, 2007 vol. 43, no. 3, p. 951–964. DOI: 10.1109/TAES.2007.4383585
  22. JULIEN, O., MACABIAU, C., CANNON, M. E., et al. ASPeCT: unambiguous sine-BOC(n,n) acquisition/tracking technique for navigation applications. IEEE Transactions on Aerospace and Electronic Systems, 2007, vol. 43, no. 1, p. 150–162. DOI: 10.1109/TAES.2007.357123
  23. BURIAN, A., LOHAN, E. S., RENFORS, M. K. Efficient delay tracking methods with sidelobes cancellation for BOC modulated signals. Eurasip Journal on Wireless Communications and Networking, 2007, 20 p. DOI: 10.1155/2007/72626
  24. YAO, Z., LU, M., FENG, Z. Unambiguous technique for multiplexed binary offset carrier modulated signals tracking. IEEE Signal Processing Letters, 2009, vol. 16, no. 7, p. 608–611. DOI: 10.1109/LSP.2009.2020462
  25. CHEN, H., REN, J., JIA, W., et al. Simultaneous perturbation stochastic approximation for unambiguous acquisition in CosineBOC signals. Radioengineering, 2013, vol. 22, no. 2, p. 578–585.
  26. ATTIA, S., ROUABAH, K., CHIKOUCHE, D., et al. Side peak cancellation method for sine-BOC(m,n)-modulated GNSS signals. Eurasip Journal on Wireless Communications and Networking, 2014, vol. 34, 14 p. DOI: 10.1186/1687-1499-2014-34
  27. REN, J., YANG, G., JIA, W. M., YAO, M. Unambiguous tracking method based on combined correlation functions for sine/cosineBOC, CBOC and AltBOC modulated signals. Radioengineering, 2014, vol. 23, no. 1, p. 244–251.
  28. DOVIS, F., MULASSANO, P., PRESTI, L. L. A novel algorithm for the code tracking of BOC(n,n) modulated signals. In Proceedings of the 18th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GNSS). Long Beach (CA, USA), 2005, p. 152–155.
  29. SMIDT, J., OZAFRAIN, S., RONCAGLIOLO, P. A., et al. New technique for weak GNSS signal acquisition. IEEE Latin America Transactions, 2014, vol. 12, no. 5. p. 889–894. DOI: 10.1109/TLA.2014.6872901
  30. ZIEDAN, N. I. GNSS Receivers for Weak Signals. Artech House Publishers, 2006. ISBN: 9781596930520
  31. DEDES, G., DEMPSTER, A. G. Indoor GPS positioningchallenges and opportunities. In 2005 IEEE 62nd Vehicular Technology Conference (VTC-2005-Fall). 2005, p. 412–415. DOI: 10.1109/VETECF.2005.1557943
  32. HUAN LI, YUBAI LI, WEI PENG, et al. A novel algorithm for the weak GPS signals acquisition. In The 2nd International Conference on Computer Application and System Modeling. 2012, p. 738–741. DOI: 10.2991/ICCASM.2012.187
  33. PSIAKI, M. L. Block acquisition of weak GPS signals in a software receiver. In Proceedings of ION GPS 2001. Salt Lake City (UT, USA), 2001, p. 2838–2850.
  34. JEON, S., SO, H., KIM, G., et al. Analysis of GNSS signal acquisition methods for the bit-transition problem for a single code period. Transactions of the Japan Society for Aeronautical and Space Sciences, 2013, vol. 56, no. 1, p. 31–41. DOI: 10.2322/tjsass.56.31
  35. PRESTI, L. L., ZHU, X., FANTINO, M., MULASSANO, P. GNSS signal acquisition in the presence of sign transition. IEEE Journal of Selected Topics in Signal Processing, 2009, vol. 3, no. 4, p. 557–570. DOI: 10.1109/JSTSP.2009.2024592
  36. SUN, K., PRESTI, L. L. Bit sign transition cancellation method for GNSS signal acquisition. The Journal of Navigation, 2012, vol. 65, no. 1, p. 73–97. DOI: 10.1017/S0373463311000543
  37. FOUCRAS, M., JULIEN, O., MACABIAU, C., EKAMBI, B. A novel computationally efficient Galileo E1 OS acquisition method for GNSS software receiver. In Proceedings of the 25th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GNSS 2012). Nashville (TN, USA), 2012, p. 365–383. DOI: 10.13140/RG.2.1.1329.2640
  38. ZHU, C., FAN, X. Weak global navigation satellite system signal acquisition with bit transition. IET Radar Sonar Navigation, 2015, vol. 9, no.1, p. 38–47. DOI: 10.1049/iet-rsn.2013.0253
  39. O’DRISCOLL, C. Performance Analysis of Parallel Acquisition of Weak GPS Signals. Ph.D. Dissertation. National University of Ireland, 2007.
  40. FOUCRAS, M., MACABIAU, C., JULIEN, O., et al. Optimal GNSS acquisition parameters when considering bit transitions. In Position, Location and Navigation Symposium (IEEE/ION PLANS 2014). Monterey (CA, USA), 2014, p. 804–817. DOI: 10.1109/PLANS.2014.6851445
  41. FOUCRAS, M., JULIEN, O., MACABIAU, C., EKAMBI, B., BACARD, F. Probability of detection for GNSS signals with sign transitions. IEEE Transactions on Aerospace and Electronic Systems, 2016, vol. 52, no. 3. p. 1296–1308. DOI: 10.1109/TAES.2016.140316
  42. LANGLEY, R. B. Dilution of precision. GPS World, 1999, vol. 10, no. 15, p. 52–59.
  43. ANGRISANO, A., GAGLIONE, S., GIOIA, C. Performance assessment of GPS/GLONASS single point positioning in an urban environment. Acta Geodaetica et Geophysica, 2013, vol. 48, no. 2, p. 149–161. DOI: 10.1007/s40328-012-0010-4
  44. CAI, C., GAO, Y. A combined GPS/GLONASS navigation algorithm for use with limited satellite visibility. The Journal of Navigation, 2009, vol. 62, no. 4, p. 671–685. DOI: 10.1017/S0373463309990154
  45. ANGRISANO, A., GAGLIONE, S., GIOIA, C., et al. Testing the test satellites: the GALILEO IOV measurement accuracy. In International Conference on Localization and GNSS (ICL-GNSS). Turin (Italy), 2013, 6 p. DOI: 10.1109/ICL-GNSS.2013.6577253
  46. BETZ, J.W., GOLDSTEIN, D. B. Candidate designs for an additional civil signal in GPS spectral bands. In Proceedings ION NTM 2002, 2002, 10 p.
  47. HEIRIES, V., AVILA-RODRIGUEZ, J. A., IRSIGLER, M., et al. Acquisition performance analysis of composite signals for the L1 OS optimized signal. In Proceedings of the 18th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GNSS 2005).Long Beach (CA, USA), 2005, p. 877–889.
  48. AVILA-RODRIGUEZ, J. A., HEIN, G. W., WALLNER, S., et al. The MBOC modulation: The final touch to the Galileo frequency and signal plan. Navigation, Journal of the Institute of Navigation, 2007, vol. 55, no. 1, p. 15–28. DOI: 10.1002/j.2161- 4296.2008.tb00415.x
  49. MONGREDIEN, C., RUGAMER, A., OVERBECK, M., et al. Opportunities and challenges for multi-constellation, multifrequency automotive GNSS receivers. In Microelectronic Systems: Circuits, Systems and Applications. 2011, p. 157–172. DOI: 10.1007/978-3-642-23070-7_16
  50. JI, S., CHEN, W., DING, X., CHEN, Y. Potential benefits of GPS/GLONASS/GALILEO integration in an urban canyon – Hong Kong. The Journal of Navigation, 2010, vol. 63, no. 4, p. 681–693. DOI: 10.1017/S0373463310000081
  51. DEVRIES, C. A., MASON, R. D. Subsampling architecture for low power receivers. IEEE Transactions on Circuits and Systems II: Express Briefs, 2008, vol. 55, no. 4, p. 304–308. DOI: 10.1109/TCSII.2008.919495
  52. VAUGHAN, R. G., SCOTT, N. L., WHITE, D. R. The theory of bandpass sampling. IEEE Transactions on Signal Processing, 1991, vol. 39, no. 19, p. 1973–1984. DOI: 10.1109/78.134430
  53. PEKAU, H., HASLETT, J.W. Cascaded noise figure calculations for radio receiver circuits with noise-aliasing properties. IEE Proceedings - Circuits, Devices and Systems, 2006, vol. 153, no. 6, p. 517–524. DOI: 10.1049/ip-cds:20060023
  54. GARCIA OYA, R. J., KWAN, A., MUNOZ CHAVERO, F. M., GHANNOUCHI, F. M., et al. Subsampling receivers with applications to software defined radio systems. In Data Acquisition Application (INTECH). Ed. Z. Karakehayov. 2012, chapter 7, p. 166–194. DOI: 10.5772/49959
  55. POULARIKAS, A. D. (Ed.) The Transforms and Applications Handbook. 2nd ed., CRC Press, February 2000, p. 1336. ISBN-10: 0849385954
  56. YAO, Z., LU, M., FUNG, Z. Unambiguous sine-phased binary offset carrier modulated signal acquisition technique. IEEE Transactions on Wireless Communications, 2010. vol. 9, no. 2, p. 577–580. DOI: 10.1109/TWC.2010.02.091066
  57. YAO, Z., CUI, X., LU, M., FENG, Z., YANG, J. Pseudocorrelation function based unambiguous tracking technique for sine-BOC signals. IEEE Transactions on Aerospace and Electronic Systems, 2010, vol. 46, no. 4, p. 1782–1796. DOI: 10.1109/TAES.2010.5595594
  58. IRSIGLER, M., AVILA-RODRIGUEZ, J. A., HEIN, G. W. Criteria for GNSS multipath performance assessment. In Proceedings of the 18th International Technical Meeting of the Satellite Division of the Institute of Navigation (ION GNSS 2005). Long Beach (CA, USA), 2005, p. 2166–2177.

Keywords: GNSS, Galileo, correlation, multi-constellation, multi-frequency, multipath

S. N. Han, M. Zhang, X. H. Li [references] [full-text] [DOI: 10.13164/re.2018.0572] [Download Citations]
A Fast Method for Blind Identification of Punctured Convolutional Codes

The existing method for blind identification of a punctured convolutional code involves searching for dual words and the puncturing pattern exhaustively. As the length of the dual words and the code rate increase, the computational complexity of this method expands exponentially. To address this problem, a fast scheme for blind identification of punctured convolutional codes is proposed. First, a recursive algorithm for solving the parity check equation set is proposed. The dual word and generator polynomial bases of the punctured convolutional code are estimated by using the recursive algorithm. After this, by using the structural properties of the generator matrix of the blocked code, possible generator matrices of the punctured convolutional code are obtained. Finally, since a generator polynomial of the parent convolutional code can be recovered from any column of its polycyclic pseudocirculant matrix, the corresponding generator matrix of the parent code and the puncturing pattern are reconstructed simultaneously from an estimation of the generator matrix of the punctured code. The reconstructed generator matrix of the parent code with a minimal constraint length is determined to be the identification result. Simulation experiments show the effectiveness of the proposed method. As there is no need to search for the dual word and puncturing pattern exhaustively, the method can achieve fast identification of punctured convolutional codes. Additionally, the method is robust to bit errors in the received sequence.

  1. TODD, K. M. Error Correction Coding, Mathematical Methods and Algorithms. 1st ed. Hoboken (USA): John Wiley & Sons, Inc., 2005. ISBN: 0471648000
  2. HAGENAUER, J. Rate compatible punctured convolutional codes and their applications. IEEE Transactions on Communications, 1988, vol. 36, no.4, p. 389–400. DOI: 10.1109/26.2763
  3. LU, P. Z., SHEN, L., ZOU, Y., et al. Blind recognition of punctured convolutional codes. Science in China Ser. E Information Sciences, 2005, vol. 35, no. 2, p. 173–185. DOI: 10.1360/03yf0480 (in Chinese)
  4. CLUZEAU, M., FINIASZ, M. Reconstruction of punctured convolutional codes. In Proceedings of Information Theory Workshop. Taormina (Italy), 2009, p. 75–79. DOI: 10.1109/ITW.2009.5351168
  5. MARAZIN, M., GAUTIER, R., BUREL, G. Algebraic method for blind recovery of punctured convolutional encoders from an erroneous bitstream. IET Signal Processing, 2012, vol. 6, no. 2, p. 122–131. DOI: 10.1049/iet-spr.2010.0343
  6. FILIOL, E. Reconstruction of convolutional encoders over GF(p). In Proceedings of the 6th IMA Conference on Cryptography and Coding. Heidelberg (Germany), 1997, p. 100–110. DOI: 10.1007/BFb0024454
  7. BARBIER, J., SICOT, G., HOUCKE, S. Algebraic approach for the reconstruction of linear and convolutional error correcting codes. World Academy of Science, Engineering and Technology, 2006, vol. 2, no. 3, p. 113–118.
  8. MARAZIN, M., GAUTIER, R., BUREL, G. Dual code method for blind identification of convolutional encoder for cognitive radio receiver design. In Proceedings of the 5th IEEE Broadband Wireless Access Workshop. Honolulu (USA), 2009, p. 1–6. DOI: 10.1109/GLOCOMW.2009.5360726
  9. MARAZIN, M., GAUTIER, R., BUREL, G. Blind recovery of k/n rate convolutional encoders in a noisy environment. EURASIP Journal on Wireless Communications and Networking, 2011, vol. 2011, no. 168, p. 1–9. DOI: 10.1186/1687-1499-2011-168
  10. COTE, M., SENDRIER, N. Reconstruction of convolutional codes from noisy observation. In Proceedings of the IEEE International Symposium on Information Theory. Seoul (South Korea), 2009, p. 546–550. DOI: 10.1109/ISIT.2009.5205729
  11. YANG, X. J., LIU, J. C., ZHANG, Y. Blind recognition of (n,k,m) convolutional codes based on solving check-sequence. Journal of Astronautics, 2013, vol. 34, no. 4, p. 568–573. DOI: 10.3873/j.issn.1000-1328.2013.04.017 (in Chinese)
  12. HUANG, L., CHEN, W. G., CHEN, E. H. Blind recognition of k/n rate convolutional encoders from noisy observation. Journal of Systems Engineering and Electronics, 2017, vol. 28, no. 2, p. 235–243. DOI: 10.21629/JSEE.2017.02.04
  13. CAIN, J. B., CLARK, G. C. GEIST, J. Punctured convolutional codes of rate (n-1)/n and simplified maximum likelihood decoding. IEEE Transactions on Information Theory, 1979, vol. 25, no. 1, p. 97–100. DOI: 10.1109/TIT.1979.1055999
  14. MORIYA, S., KIKUCHI, K., SASANO, H. Construction of high rate punctured convolutional codes through dual codes. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2015, vol. 98, no. 7, p. 1579–1583. DOI: 10.1587/transfun.E98.A.1579
  15. SOTEH, A. G., BIZAKI, H. K. On the analytical solution of rank problem in the convolutional code identification context. IEEE Communications Letter, 2016, vol. 20, no. 3, p. 442–445. DOI: 10.1109/LCOMM.2016.2519519
  16. SU, S. J., ZHOU, J., HUANG, Z. P., et al. Blind identification of convolutional encoder parameters. The Scientific World Journal, 2014, no. 5, p. 1–9. DOI: 10.1155/2014/798612

Keywords: Punctured convolutional code, blind identification, recursive algorithm, generator matrix, puncturing pattern

P. Huang, X. Li, H. Wang [references] [full-text] [DOI: 10.13164/re.2018.0580] [Download Citations]
Tensor-Based Match Pursuit Algorithm for MIMO Radar Imaging

In MIMO radar, existing sparse imaging algorithms commonly vectorize the receiving data, which will destroy the multi-dimension structure of signal and cause the algorithm performance decline. In this paper, the sparsity characteristic and multi-dimension characteristic of signals are considered simultaneously and a new compressive sensing imaging algorithm named tensor-based match pursuit(TMP) is proposed. In the proposed method, MIMO radar tensor signal model is established to eliminate “dimension disaster” at first. Then, exploiting tensor decomposition to process tensor data sets, tensor-based match pursuit is formulated for multi-dimension sparse signal recovery, in which atom vectors orthogonality selection strategy and basis-signal reevaluation are used to eliminate the wrong indices and enhance resolution respectively. Simulation results validates that the proposed method can complete high-resolution imaging correctly compared with conventional greedy sparse recovery algorithms. Additionally, under fewer snapshots condition, RMSE of proposed method is far lower than other sparse recovery algorithms.

  1. FISHLER, E., HAIMOVICH, A., et al. MIMO radar: an idea whose time has come. In Proceedings of the 2004 IEEE Radar Conference. Philadelphia (USA), 2004, p. 71–78. DOI: 10.1109/NRC.2004.1316398
  2. FRANKIE, K. W., SO, H. C., et al. Parameter estimation and identi- fiability in bistatic multiple-input multiple-output radar. IEEE Transactions on Aerospace and Electronic Systems, 2015, vol. 51, no. 3, p. 2047–2056. DOI: 10.1109/TAES.2015.130502
  3. DENG, H., GENG, Z., et al. MIMO radar waveform design for transmit beamforming and orthogonality.IEEE Transactions on Aerospace and Electronic Systems, 2016, vol. 52, no. 3, p. 1421–1433. DOI: 10.1109/TAES.2016.140023
  4. ZHUGE, X. D., YAROVOY, A. G., et al. Modified Kirchhoff migration for UWB MIMO array-based radar imaging. IEEE Transactions on Geoscience and Remote Sensing, 2010, vol. 48, no. 6, p. 2692– 2703. DOI: 10.1109/TGRS.2010.2040747
  5. YOON, Y. S., AMIN, M. G. Compressed sensing technique for highresolution radar imaging. In Proceedings of the SPIE Defense and Security Symposium (DSS’08), 2008. DOI: 10.1117/12.777175
  6. DING, L., CHEN, W. D. MIMO radar sparse iamging with phase mismatch. IEEE Geoscience and Remote Sensing Letters, 2015, vol. 12, no. 4, p. 816–820. DOI: 10.1109/LGRS.2014.2363110
  7. SADEQUE, A., ALI, T., et al. Waveform transmission scheme for MIMO radar imaging based on space-time block codes. IEEE Transactions on Aerospace and Electronic Systems, 2014, vol. 50, no. 1, p. 777–785. DOI: 10.1109/TAES.2013.120226
  8. ROBERTS, W., STOCIA, P., et al. Iterative adaptive approaches to MIMO radar imaging. IEEE Journal of Selected Topics in Singal Processing,IEEE Journal of Selected Topics in Signal Processing, 2010, vol. 4, no. 1, p. 5–20. DOI: 10.1109/JSTSP.2009.2038964
  9. WU, Q., ZHANG, Y. D., et al. Multi-static passive SAR imaging based on Bayesian compressive sensing. In Proceedings of the SPIE - The International Society for Optical Engineering, 2014. DOI: 10.1117/12.2050524
  10. TANG, V. H., BOUZERDOUM, A., et al. Two-stage through-the-wall radar image formulation using compressive sensing. Journal of Electronic Imaging, 2013, vol. 22, no. 2. DOI: 10.1117/1.JEI.22.2.021006
  11. WANG, X. P., WANG, W., et al. Sparsity-aware DOA estimation scheme for noncircular source in MIMO radar. Sensors, 2016, vol. 16, no. 4, p. 113. DOI: 10.3390/S16040539
  12. CAIAFA, C. F., CICHOCKI, A. Computing sparse representations of multidimensional signals using kronecker bases. Neural computation, 2013, vol. 25, no. 1, p. 186–220. DOI: 10.1162/NECO_a_00385
  13. LI, G., BURKHOLDER, R. J. Hybrid matching pursuit for distributed through-wall radar imaging. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 4, p. 1701–1711. DOI: 10.1109/TAP.2015.2398115
  14. CAIAFA, C. F., CICHOCKI, A. Multidimensional compressed sensing and their applications. Wiley Interdisciplinary Reviews: Data Mining and Knowledge Discovery, 2013, vol. 3, no. 6, p. 355–380. DOI: 10.1002/WIDM.1108
  15. HE, H., STOICA, P., LI, J. Designing unimodular sequence sets with good correlations-including an application to MIMO radar. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 11, p. 4391–4405. DOI: 10.1109/TSP.2009.2025108
  16. CAIAFA, C. F., CHOCKI, A. Block sparse representations of tensors using Kronecker bases. In Proceedings of the IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). Kyoto (Japan), 2012, p. 2709–2712. DOI: 10.1109/ICASSP.2012.6288476
  17. ELDAR, Y., KUPPINGER, P., BOLCSKEI, H. Block-sparse signals: uncertainty relations and efficient recovery. IEEE Transactions on Signal Processing, 2010, vol. 58, no. 6, p. 3042–3054. DOI: 10.1109/TSP.2010.2044837
  18. DAKOVIC, M., STANKOVIC, L., et al. Gradient algorithm based ISAR image reconstruction from the incomplete dataset. In Proceedings of the 3rd International Workshop on Compressed Sensing Theory and its Applications to Radar, Sonar and Remote Sensing (CoSeRa 2015). Pisa (Italy), 2015, p. 6–10. DOI: 10.1109/CoSeRa.2010.2044837
  19. STANKOVIC, S., OROVIC, I. An approach to 2D signals recovering in compressive sensing context. Circuits, Systems, and Signal Processing, 2017 , vol. 36, no. 4, p. 1700-1713. DOI :10.1007/s00034- 016-0366-8

Keywords: MIMO radar, Compressive sensing (CS), tensor decomposition, sparse imaging, greedy algorithm

J. A. Jahanshahi, H. Danyali, M. S. Helfroush [references] [full-text] [DOI: 10.13164/re.2018.0587] [Download Citations]
A Distributed Compressed Sensing-based Algorithm for the Joint Recovery of Signal Ensemble

This paper considers sparsity-aware adaptive compressed sensing acquisition and the joint reconstruction of intra- and inter-correlated signals in the wireless sensor networks via distributed compressed sensing. textcolor{red}{ Due to the different sparsity order of the finite-length signals, we develop an adaptive sensing framework based on the sparsity order, in which sensor readings are sampled according to its own sparsity order measure.} On the decoder side, utilizing a distributed compressive sensing scheme, a joint reconstruction method is proposed to recover signal ensemble even in imperfect data communication. textcolor{red}{Moreover, we explore that by adapting the sampling rate of the sensed signals, not only the whole required number of measurements is reduced, but also the reconstruction performance is significantly improved. Numerical experiments verify that our proposed algorithm achieves higher reconstruction accuracy with a smaller number of required transmission, and with lower complexity as compared to those of the state of the art CS methods.

  1. AKYILDIZ, I.F. Wireless multimedia sensor networks: applications and testbeds. Proceedings of the IEEE, 2008, vol. 96, no. 10, p. 1588–1605. DOI: 10.1109/JPROC.2008.928756
  2. BARON, D., WAKIN, M.B., DUARTE, M.F., et al. Distributed compressed sensing. Preprint, arXiv:0901.3403v1, 2006.
  3. BARANIUK, R. G. Compressive sensing [Lecture Notes]. IEEE Signal Processing Magazine, 2007, vol. 24, no. 4, p. 118–121. DOI: 10.1109/MSP.2007.4286571
  4. HURLEY, N., RICKARD, S. Comparing measures of sparsity. IEEE Transactions on Information Theory, 2009, vol. 55, no. 10, p. 4723–4741. DOI: 10.1109/TIT.2009.2027527
  5. GILL, P. R., WANG, A., MOLNAR, A. The in-crowd algorithm for fast basis pursuit denoising. IEEE Transactions on Signal Processing, 2011, vol. 59, no.10, p. 4595–4605. DOI: 10.1109/TSP.2011.2161292
  6. MUSIC, I. OROVIC, T. MARASOVIC, V., et al. Gradient compressive sensing for image data reduction in UAV based search and rescue in the wild. Mathematical Problems in Engineering, 2016, vol. 2016, p. 1–16. DOI: 10.1155/2016/6827414
  7. LEINONEN, M., MARIAN, C., MARKKU, J. Sequential compressed sensing with progressive signal reconstruction in wireless sensor networks. IEEE Transactions on Wireless Communications, 2015, vol. 14, no. 3, p. 1622–1635. DOI: 10.1109/TWC.2014.2371017
  8. JAHANSHAHI, J.A., ESLAMI, M., GHORASHI, S.A. Compressed sensing based dynamic PSD map construction in cognitive radio networks. Radioengineering, 2013, vol. 22, no. 2, p. 526–535. ISSN: 1805–9600
  9. CAIONE, C., BRUNELLI, D., BENINI, L. Compressive sensing optimization for signal ensembles in WSNs. IEEE Transactions on Industrial Informatics, 2014, vol. 10, no. 1, p. 382-392. DOI: 10.1109/TII.2013.2266097
  10. CHEN, W., WASSELL, I. J.. Energy efficient signal acquisition via compressive sensing in wireless sensor networks. In Proceedings of the 6th International Symposium on Wireless and Pervasive Computing (ISWPC). Hong Kong (China), 2011, p. 1–6. DOI: 10.1109/ISWPC.2011.5751335
  11. CHARBIWALA, Z., KIM, Y., ZAHEDI, S., et al. Energy efficient sampling for event detection in wireless sensor networks. In Proceedings of the 2009 ACM/IEEE International Symposium on Low power Electronics and Design. San Fancisco (USA), 2009, p. 419–424. DOI: 10.1145/1594233.1594339
  12. CEVHER, V., GURBUZ, A.C., MCCLELLAN, J.H., et al. Compressive wireless arrays for bearing estimation. In Proceedings of the 2008 IEEE International Conference on Acoustics, Speech and Signal Processing. Las Vegas (USA), 2008, p. 2497–2500. DOI: 10.1109/ICASSP.2008.4518155
  13. QUER, G., MASIERO, R., PILLONETTO, G., et al. Sensing, compression, and recovery for WSNs: Sparse signal modeling and monitoring framework. IEEE Transactions on Wireless Communications, 2012, vol. 11, no. 10, p. 3447–3461. DOI: 10.1109/TWC.2012.081612.110612
  14. VAN DEN BERG, E., FRIEDLANDER, M.P. Theoretical and empirical results for recovery from multiple measurements. IEEE Transactions on Information Theory, 2010, vol. 56, no. 5, p. 2516–2527. DOI: 10.1109/TIT.2010.2043876
  15. STANKOVIC, S., OROVIC, I., STANKOVIC, L. An automated signal reconstruction method based on an alysis of compressive sensed signals in noisy environment. Signal Processing, 2014, vol. 104, p. 43–50. DOI: 10.1016/j.sigpro.2014.03.049
  16. CHATTERJEE, S., SUNDMAN, D., VEHKAPERA, M., et al. Projection-based and look-ahead strategies for atom selection. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 2, p. 634–647. DOI: 10.1109/TSP.2011.2173682
  17. CVX TOOLBOX, Available at: http://cvxr.com/cvx
  18. SPARSELAB, Available at: http://sparselab.stanford.edu/

Keywords: Sparsity measure, sparsity-aware distributed compressed sensing, compressive sensing

Z. H. Tan, W. M. Jia, W. Jin [references] [full-text] [DOI: 10.13164/re.2018.0595] [Download Citations]
Robust Adaptive Beamforming Using k-means Clustering: A Solution to High Complexity of the Reconstruction-Based Algorithm

Recently, a new robust adaptive beamforming (RAB) algorithm has been proposed to reconstruct the interference-plus-noise covariance matrix (IPNCM) based on narrowing the interference angular domain and using an annular uncertainty set (NIAD-AUS). The method is robust against unknown arbitrary-type mismatches. However, its computational complexity will increase exponentially with the number of array sensors. In this paper, a novel method is proposed to solve this problem. First, k-means clustering (KMC) algorithm is utilized to estimate the annulus uncertainty set with fewer clustering weight points rather than whole sampling. Second, the KMC Capon spectrum is used to reconstruct the IPNCM. Compared with the previous reconstruction-based algorithms, the proposed approach can retain the high performance of the state-of-the-art NIAD-AUS algorithm. More importantly, it can also obtain the IPNCM more quickly. Lastly, simulation results demonstrate the effectiveness and robustness of the proposed algorithm.

  1. LI, J., STOICA, P., WANG, Z. On robust Capon beamforming and diagonal loading. IEEE Transactions on Signal Processing, 2003, vol. 51, no. 7, p. 1702–1715. DOI: 10.1109/ICASSP.2003.1199947
  2. VOROBYVO, S. A., GERSHMAN, A. B., LUO, Z. Q. Robust adaptive beamforming using worst-case performance optimization: a solution to the signal mismatch problem. IEEE Transactions on Signal Processing, 2003, vol. 51, no. 2, p. 313–324. DOI: 10.1109/TSP.2002.806865
  3. LI, J., STOICA, P., WANG, Z. Doubly constrained robust Capon beamformer. IEEE Transactions on Signal Processing, 2004, vol. 52, no. 9, p. 2407–2423. DOI: 10.1109/TSP.2004.831998
  4. DU, L., LI, J., STOICA, P. Fully automatic computation of diagonal loading levels for robust adaptive beamforming. IEEE Transaction on Aerospace and Electronic Systems, 2010, vol. 46, no. 1, p. 449–458. DOI: 10.1109/TAES.2010.5417174
  5. JIA, W., JIN, W., ZHOU, S, et al. Robust adaptive beamforming based on a new steering vector estimation algorithm. Signal Processing, 2013, vol. 93, no. 9, p. 2539–2542. DOI: 10.1016/j.sigpro.2013.03.015
  6. NAI, S. E., SER, W., YU, Z. L., et al. Iterative robust minimum variance beamforming. IEEE Transactions on Signal Processing, 2011, vol. 59, no. 4, p. 1601–1611. DOI: 10.1109/TSP.2010.2096222
  7. JIN, W., JIA, W., YAO, M., et al. Iterative doubly constrained robust Capon beamformer. Electronics Letters, 2011, vol. 47, no. 25, p. 1372–1373. DOI: 10.1049/el.2011.3360
  8. JIN, W., JIA, W., YAO, M., et al. Robust adaptive beamforming based on iterative implementation of worst-case performance optimization. Electronics Letters, 2012, vol. 48, no. 22, p. 1389–1391. DOI: 10.1049/el.2012.1718
  9. GU, Y., LESHEM, A. Robust adaptive beamforming based on interference covariance matrix reconstruction and steering vector estimation. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 7, p. 3881–3885. DOI: 10.1109/TSP.2012.2194289
  10. GU, Y., GOODMAN, N. A., HONG, S., et al. Robust adaptive beamforming based on interference covariance matrix sparse reconstruction. Signal Processing, 2014, vol. 96, no. 5, p. 375–381. DOI: 10.1016/j.sigpro.2013.10.009
  11. ZHANG, Z., LIU, W., LENG, W., et al. Interference-plus-noise covariance matrix reconstruction via spatial power spectrum sample for robust adaptive beamforming. IEEE Signal Processing Letters, 2016, vol. 23, no. 1, p. 121–125. DOI: 10.1109/LSP.2015.2504954
  12. CHEN, P., ZHAO, Y., LIU, C. Robust adaptive beamforming based on sparse reconstruction using non-convex optimization algorithm. Electronics Letters, 2016, vol. 52, no. 19, p. 1584–1586. DOI: 10.1049/el.2016.1810
  13. WANG, Y., BAO, Q., CHEN, Z. Robust adaptive beamforming using IAA-based interference-plus-noise covariance matrix reconstruction. Electronics Letters, 2016, vol. 52, no. 13, p. 1185–1186. DOI: 10.1049/el.2015.4420
  14. HUANG, L., ZHANG, J., XU, X. Robust adaptive beamforming with a novel interference-plus-noise covariance matrix reconstruction method. IEEE Transactions on Signal Processing, 2015, vol. 63, no. 7, p. 1643–1650. DOI: 10.1109/TSP.2015.2396002
  15. VAN TREES, H. L. Optimum Array Processing. 1st ed., rev. New York (USA): Wiley-Interscience, 2002. ISBN: 9780471093909
  16. LLOYD, S. P. Least squares quantization in PCM. IEEE Transactions on Information Theory, 1982, vol. 28, no. 2, p. 129–137. DOI: 10.1109/TIT.1982.1056489

Keywords: Robust adaptive beamforming, k-means clustering, reconstruction-based algorithm, low complexity

V. Alvarez-Ramos, V. Ponomaryov, S. Sadovnychiy [references] [full-text] [DOI: 10.13164/re.2018.0602] [Download Citations]
Image Super-Resolution Via Wavelet Feature Extraction and Sparse Representation

This paper proposes a novel Super-Resolution (SR) technique based on wavelet feature extraction and sparse representation. First, the Low-Resolution (LR) image is interpolated employing the Lanczos operation. Then, the image is decomposed into sub-bands (LL, LH, HL and HH) via Discrete Wavelet Transform (DWT). Next, the LH, HL and HH sub-bands are interpolated employing the Lanczos interpolator. Principal Component Analysis (PCA) is used to reduce and to obtain the most relevant features information from the set of interpolated sub-bands. Overlapping patches are taken from the features obtained via PCA. For each patch, the sparse representation is computed using the Orthogonal Matching Pursuit (OMP) algorithm and the LR dictionary. Subsequently, this sparse representation is used to reconstruct a High-Resolution (HR) patch employing the HR dictionary and it is added to the LR image. By applying the quality objective criteria PSNR and SSIM, the novel technique has been evaluated demonstrating the superiority of the novel framework against state-of-the-art techniques.

  1. BOVIK, A. C. Handbook of Image and Video Processing. 1st ed. USA: Academic Press, 2000. ISBN: 0-12-119790-5
  2. FARSIU, S., ROBINSON, D., ELAD, M., et al. Advances and challenges in super-resolution. International Journal of Imaging Systems and Technology, 2004, vol. 14, no. 2, p. 47–57. DOI: 10.1002/ima.20007
  3. PARK, S. C., PARK, M. K., KANG, M. G. Super-resolution image reconstruction: a technical overview. IEEE Signal Processing Magazine, 2003, vol. 20, no. 3, p. 21–36. DOI: 10.1109/MSP.2003.1203207
  4. ZHANG, Q., WU, J. Image super-resolution using windowed ordinary kriging interpolation. Optics Communications, 2015, vol. 336, p. 140–145. DOI: 10.1016/j.optcom.2014.09.060
  5. KANG, X., LI, S., HU, J. Fusing soft-decision-adaptive and bicubic methods for image interpolation. In Proceedings of the 21st International Conference on Pattern Recognition (ICPR2012). Tsukuba (Japan), 2012, p. 1043–1046. ISBN: 978-4-9906441-0-9
  6. KEYS, R. Cubic convolution interpolation for digital image processing. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1981, vol. 29, no. 6, p. 1153–1160. DOI: 10.1109/TASSP.1981.1163711
  7. ACHARYA, A., MEHER, S. Region adaptive unsharp masking based Lanczos-3 interpolation for video intra frame up-sampling. In Proceedings of the Sixth International Conference on Sensing Technology (ICST). Kolkata (India), 2012, p. 57–62. ISBN: 978-1-4673-2248-5. DOI: 10.1109/ICSensT.2012.6461746
  8. XIN, L., ORCHARD, M. T. New edge-directed interpolation. IEEE Transactions on Image Processing, 2001, vol. 10, no. 10, p. 1521–1527. DOI: 10.1109/83.951537
  9. ZHANG L., WU, X. An edge-guided image interpolation algorithm via directional filtering and data fusion. IEEE Transactions on Image Processing, 2006, vol. 15, no. 8, p. 2226–2238. DOI: 10.1109/TIP.2006.877407
  10. ZHENG, H., QU, X., BAI, Z., et al. Multi-contrast brain magnetic resonance image super-resolution using the local weight similarity. BMC Medical Imaging, 2017, vol 17, no. 6. DOI: 10.1186/s12880-016-0176-2
  11. CHAVEZ-ROMAN, H., PONOMARYOV, V. Super-resolution image generation using wavelet domain interpolation with edge extraction via a sparse representation. IEEE Geoscience and Remote Sensing Letters, 2014, vol. 11, no. 10, p. 1777–1781. DOI: 10.1109/LGRS.2014.2308905
  12. ANBARJAFARI, G., DEMIREL, H. Image super resolution based on interpolation of wavelet domain high frequency subbands and the spatial domain input image. ETRI Journal, 2010, vol. 32, no. 3, p. 390–394. DOI: 10.4218/etrij.10.0109.0303
  13. ZHANG, Z., XU, Y., YANG, J., et al. A survey of sparse representation: algorithms and applications. IEEE Access, 2015, vol. 3, p. 490–530. DOI: 10.1109/ACCESS.2015.2430359
  14. YANG, J., WRIGHT, J., HUANG, T. S., et al. Image super-resolution via sparse representation. IEEE Transactions on Image Processing, 2010, vol. 19, no. 11, p. 2861–2873. DOI: 10.1109/TIP.2010.2050625
  15. MALLAT, S., YU, G. Super-resolution with sparse mixing estimators. IEEE Transactions on Image Processing, 2010, vol. 19, no. 11, p. 2889–2900. DOI: 10.1109/TIP.2010.2049927
  16. HE, L., QI, H., ZARETZKI, R. Beta process joint dictionary learning for coupled feature spaces with application to single image superresolution. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. Portland (USA), 2013, p. 345–352. ISBN: 978-0-7695-4989-7. DOI: 10.1109/CVPR.2013.51
  17. ALVAREZ-RAMOS, V., PONOMARYOV, V., REYES-REYES, R., et al. Satellite image super-resolution using overlapping blocks via sparse representation. In Proceedings of the 9th International Kharkiv Symposium on Physics and Engineering of Microwaves, Millimeter and Submillimeter Waves (MSMW). Kharkiv (Ukraine), 2016, p. 1–4. ISBN: 978-1-5090-2267-0. DOI: 10.1109/MSMW.2016.7538183
  18. QU, X., HOU, Y., LAM, F., et al. Magnetic resonance image reconstruction from undersampled measurements using a patch-based nonlocal operator. Medical Image Analysis, 2014, vol. 18, no. 6, p. 843–856. DOI: 10.1016/j.media.2013.09.007
  19. RUEDA, A., MALPICA, N., ROMERO, E. Single-image superresolution of brain MR images using overcomplete dictionaries. Medical Image Analysis, 2013, vol. 17, no. 1, p. 113–132. DOI: 10.1016/j.media.2012.09.003
  20. DONG, C., LOY, C., HE, K., et al. Image super-resolution using deep convolutional networks. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2016, vol. 38, no. 2, p. 295–307. DOI: 10.1109/TPAMI.2015.2439281
  21. KIM, J., LEE, J. K., LEE, K. M. Accurate image super-resolution using very deep convolutional networks. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition (CVPR). Las Vegas (USA), 2016, p. 1646–1654. ISBN: 978-1-4673-8851-1. DOI: 10.1109/TPAMI.2015.2439281
  22. RUBINSTEIN, R., BRUCKSTEIN, A. M., ELAD, M. Dictionaries for sparse representation modeling. Proceedings of the IEEE, 2010, vol. 98, no. 6, p. 1045–1057. DOI: 10.1109/JPROC.2010.2040551
  23. ZEYDE, R., ELAD, M., PROTTER, M. On single image scale-up using sparse-representations. In Proceedings of the 7th International Conference on Curves and Surfaces. Avignon (France), 2010, p. 711–730. ISBN: 978-3-642-27412-1. DOI: 10.1007/978-3-642-27413-8_47
  24. YANG, J., WANG, Z., LIN, Z., et al. Coupled dictionary training for image super-resolution. IEEE Transactions on Image Processing, 2012, vol. 21, no. 8, p. 3467–3478. DOI: 10.1109/TIP.2012.2192127
  25. MIURA, S., KAWAMOTO Y., SUZUKI, S., et al. Image quality improvement for learning-based super-resolution with PCA. In Proceedings of the 1st IEEE Global Conference on Consumer Electronics. Tokyo (Japan), 2012, p. 572–573. ISBN: 978-1-4673-1501-2. DOI: 10.1109/GCCE.2012.6379917
  26. AZIMI-SADJADI, M. R., KOPACZ, J., KLAUSNER, N. K-SVD dictionary learning using a fast OMP with applications. In Proceedings of the IEEE International Conference on Image Processing (ICIP). Paris (France,) 2014, p. 1599–1603. ISBN: 978-1-4799-5751-4. DOI: 10.1109/ICIP.2014.7025320
  27. WANG, J., ZHU, S., GONG, Y. Resolution enhancement based on learning the sparse association of image patches. Pattern Recognition Letters, 2010, vol. 31, no. 1, p. 1–10. DOI: 10.1016/j.patrec.2009.09.004
  28. BLUMENSATH, T., DAVIES, M. E. In greedy pursuit of new directions: (nearly) orthogonal matching pursuit by directional optimisation. In Proceedings of the 15th European Signal Processing Conference. Poznan (Poland), 2014, p. 340–344. ISBN: 978-839-2134-04-6
  29. HORE, A., ZIOU, D. Image quality metrics: PSNR vs. SSIM. In Proceedings of the 20th International Conference on Pattern Recognition. Istanbul (Turkey), 2010, p. 2366–2369. ISBN: 978-1-4244-7541-4. DOI: 10.1109/ICPR.2010.579
  30. WANG, Z., BOVIK, A. C., SHEIKH, H. R., et al. Image quality assessment: from error visibility to structural similarity. IEEE Transactions on Image Processing, 2004, vol. 13, no. 4, p. 600–612. DOI: 10.1109/TIP.2003.819861
  31. TEMIZEL, A., VLACHOS, T. Wavelet domain image resolution enhancement using cycle spinning and edge modelling. In Proceedings of the 13th European Signal Processing Conference. Antalya (Turkey), 2005, p. 1–4. ISBN: 978-160-4238-21-1
  32. GETREUER, P. Wavelet CDF 9/7 Implementation. [Online] Cited 2017-04-06. Available at: http://www.getreuer.info/home/waveletcdf97

Keywords: Super-resolution, sparse representation, wavelet, features, interpolation, neural networks

T. Jung, I. Song, S. Lee, S. Jung, S. Yoon, J. Kang [references] [full-text] [DOI: 10.13164/re.2018.0610] [Download Citations]
Expansion of Cell Range With Geometric Information of Pico Cell for Maximum Sum Rate in Heterogeneous Networks

In this paper, taking the positions of pico-cell base stations (PBSs) into consideration, a scheme of cell range expansion (CRE) for maximum sum rate is addressed in heterogeneous multi-input multi-output multi-user wireless networks. The optimal CRE bias obtained numerically by the proposed CRE scheme with inter-cell interference coordination (ICIC) allows us to maximize the sum rate while successfully maintaining the load balance between the macrocell base station and PBSs. Numerical results confirm that the proposed CRE scheme with ICIC can provide higher sum rate than conventional schemes and balanced load.

  1. ANDREWS, J. G. Seven ways that HetNets are a cellular paradigm shift. IEEE Communications Magazine, 2013, vol. 51, no. 3, p. 136–144. DOI: 10.1109/MCOM.2013.6476878
  2. BOGALE, T. E., LE, L. B. Massive MIMO and mm wave for 5G wireless HetNet. IEEE Vehicular Technology Magazine, 2016, vol. 11, no. 1, p. 64–75. DOI: 10.1109/MVT.2015.2496240
  3. KLEIN, T. E., HAN, S.-J. Assignment strategies for mobile data users in hierarchical overlay networks: Performance of optimal and adaptive strategies. IEEE Journal on Selected Areas in Communications, 2004, vol. 22, no. 5, p. 849–861. DOI: 10.1109/JSAC.2004.826922
  4. FOOLADIVANDA, D., ROSENBERG, C. Joint resource allocation and user association for heterogeneous wireless cellular networks. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 1, p. 248–257. DOI: 10.1109/TWC.2012.121112.120018
  5. CHANDRASEKHAR, V., ANDREWS, J. G., MUHAREMOVIC, T., et al. Power control in two-tier femtocell networks. IEEE Transactions on Wireless Communications, 2009, vol. 8, no. 8, p. 4316–4328. DOI: 10.1109/TWC.2009.081386
  6. CHANDRASEKHAR, V., KOUNTOURIS, M., ANDREWS, J. G. Coverage in multi-antenna two-tier networks. IEEE Transactions on Wireless Communications, 2009, vol. 8, no. 10, p. 5314–5327. DOI: 10.1109/TWC.2009.090241
  7. LOPEZ-PEREZ, D., CHU, X., GUVENC, I. On the expanded region of picocells in heterogeneous networks. IEEE Transactions on Wireless Communications, 2012, vol. 6, no. 3, p. 281–294. DOI: 10.1109/JSTSP.2012.2190381
  8. CHO, S., CHOI, W. Coverage and load balancing in heterogeneous cellular networks with minimum cell separation. IEEE Transactions on Mobile Computing, 2014, vol. 13, no. 9, p. 1955–1966. DOI: 10.1109/TMC.2013.87
  9. DI RENZO, M., GUIDOTTI, A., G. CORAZZA, E. Average rate of downlink heterogeneous cellular networks over generalized fading channels: A stochastic geometry approach. IEEE Transactions on Communications, 2013, vol. 61, no. 7, p. 3050–3071. DOI: 10.1109/TCOMM.2013.050813.120883
  10. NIGAM, G., MINERO, P., HAENGGI, M. Coordinated multipoint joint transmission in heterogeneous networks. IEEE Transactions on Communications, 2014, vol. 62, no. 11, p. 4134–4146. DOI: 10.1109/TCOMM.2014.2363660
  11. SINGH, S., ANDREWS, J. G. Joint resource partitioning and of- floading in heterogeneous cellular networks. IEEE Transactions on Wireless Communications, 2014, vol. 13, no. 2, p. 888–901. DOI: 10.1109/TWC.2013.120713.130548
  12. MADAN, R., BORRAN, J., SAMPATH, A., et al. Cell association and interference coordination in heterogeneous LTE-A cellular networks. IEEE Journal on Selected Areas in Communications, 2010, vol. 28, no. 9, p. 1479–1489. DOI: 10.1109/JSAC.2010.101209
  13. GUVERNC, I. Capacity and fairness analysis of heterogeneous networks with range expansion and interference coordination. IEEE Communications Letters, 2011, vol. 15, no. 10, p. 1084–1087. DOI: 10.1109/LCOMM.2011.082611.111387
  14. OH, J., HAN, Y. Cell selection for range expansion with almost blank subframe in heterogeneous networks. In Proceedings of the IEEE Annual International Symposium on Personal, Indoor, and Mobile Radio Communications. Sydney (Australia), 2012, p. 653–657. DOI: 10.1109/PIMRC.2012.6362865
  15. KIKUCHI, K., OTSUKA, H. Proposal of adaptive control CRE in heterogeneous networks. In Proceedings of the IEEE Annual International Symposium on Personal, Indoor, and Mobile Radio Communications. Sydney (Australia), 2012, p. 910–914. DOI: 10.1109/PIMRC.2012.6362914
  16. YE, Q., RONG, B., CHEN, Y., et al. User association for load balancing in heterogeneous cellular networks. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 6, p. 2706–2716. DOI: 10.1109/TWC.2013.040413.120676
  17. TANG, H., PENG, J., HONG, P., et al. Offloading performance of range expansion in picocell networks: A stochastic geometry analysis. IEEE Wireless Communications Letters, 2013, vol. 2, no. 5, p. 511–514. DOI: 10.1109/WCL.2013.061913.130346
  18. KUDO, T., OHTSUKI, T. Cell range expansion using distributed Q-learning in heterogeneous networks.EURASIP Journal on Wireless Communications and Networking, 2013, vol. 1, article 61, 10 pages. DOI: 10.1186/1687-1499-2013-61
  19. KIKUCHI, K., OTSUKA, H. Parameter optimization for adaptive control CRE in HetNet. In Proceedings of the IEEE Annual International Symposium on Personal, Indoor, and Mobile Radio Communications. London (UK), 2013, p. 3334–3338. DOI: 10.1109/PIMRC.2013.6666723
  20. DENG, X., WANG, Y., GU, X., et al. Analysis of cell range extension and a bias configuration strategy in dense small cell networks. In Proceedings of the International Conference on Network Infrastructure and Digital Content. Beijing (China), 2014, p. 425–429. DOI: 10.1109/ICNIDC.2014.7000338
  21. GU, X., LI, W., ZHANG, L. Adaptive cell range control in heterogeneous network. In Proceedings of the International Conference on Wireless Communications and Signal Processing. Hangzhou (China), 2013, p. 1–5. DOI: 10.1109/WCSP.2013.6677262
  22. GU, X., DENG, X., LI, Q., et al. Capacity analysis and optimization in heterogeneous network with adaptive cell range control. International Journal of Antennas and Propagation, 2014, vol. 2014, Article ID 215803, 10 pages. DOI: 10.1155/2014/215803
  23. JINDAL, N., ANDREWS, J. G., WEBER, S. Multi-antenna communication in ad hoc networks: Achieving MIMO gains with SIMO transmission. IEEE Transactions on Communications, 2011, vol. 59, no. 2, p. 529–540. DOI: 10.1109/TCOMM.2010.120710.090793
  24. 3GPP, TR 36.814 (V9.0.0), Further Advancements for E-UTRA Physical Layer Aspects, 2010.
  25. CADAMBE, V. R., JAFAR, S. A. Interference alignment and degrees of freedom of the k-user interference channel. IEEE Transactions on Information Theory, 2008, vol. 54, no. 8, p. 3425–3441. DOI: 10.1109/TIT.2008.926344
  26. GOMADAM, K., CADAMBE, V. R., JAFAR, S. A. A distributed numerical approach to interference alignment and applications to wireless interference networks. IEEE Transactions on Information Theory, 2011, vol. 57, no. 6, p. 3309–3322. DOI: 10.1109/TIT.2011.2142270

Keywords: Cell range expansion (CRE), heterogeneous network, interference coordination, multi-input multi-output multi-user (MIMO-MU) system

V. Jenik, P. Hudec, P. Panek [references] [full-text] [DOI: 10.13164/re.2018.0620] [Download Citations]
Extended Noise Analysis Model of CW-type Radar Sensors with IQ Down-Conversion

CW-type structures belong to the most frequently employed radars, especially in a form of small sensors used, for example, in security applications or automotive industry. Range and reliability of operation of these sensors strongly depend upon their noise parameters. This paper extends previously published works by considering all potential inner noise sources and creating complex noise model of the concerned radar family including often used IQ signal processing. The noise sources cover local oscillator amplitude-noise and phase-noise, noise of a RF signal path and noise of a low-frequency signal path. The resulting noise model was verified by practical measurements which included different local oscillator types, different low-frequency bandwidths and different time-delays of the cross-talked signals. In all cases, the agreement between theory and measurement was within estimated limits. The developed model is applicable for noise analysis and design of all common CW-type radars.

  1. DICKMANN, J., KLAPPSTEIN, J., HAHN, M., et al. Automotive radar the key technology for autonomous driving: From detection and ranging to environmental understanding. In 2016 IEEE Radar Conference. Philadelphia (PA, USA), 2016, p. 1–6. DOI: 10.1109/RADAR.2016.7485214
  2. MEINEL, H., DICKMANN, J. Automotive radar: From its origins to future directions. Microwave Journal, 2013, vol. 56, no. 9, p. 24–40.
  3. FEGER, R., HADERER, A., STELZER, A. Experimental verification of a 77-GHz synthetic aperture radar system for automotive applications. In 2017 IEEE MTT-S International Conference on Microwaves for Intelligent Mobility (ICMIM). Nagoya (Japan), 2017, p. 111–114. DOI: 10.1109/ICMIM.2017.7918869
  4. SWAMI, P., JAIN, A., GOSWAMI, P., et al. High performance automotive radar signal processing on TI's TDA3X platform. In 2017 IEEE Radar Conference (RadarConf). Seattle (WA, USA), 2017, p. 1317–1320. DOI: 10.1109/RADAR.2017.7944409
  5. NGUYEN, D., YAMADA, S., PARK, B. K., et al. Noise considerations for remote detection of life signs with microwave Doppler radar. In 2007 29th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. Lyon (France), 2007, p. 1667–1670. DOI: 10.1109/IEMBS.2007.4352628
  6. CHIOUKH, L., BOUTAYEB, H., DESLANDES, D., et al. Noise and sensitivity of harmonic radar architecture for remote sensing and detection of vital signs. IEEE Transactions on Microwave Theory and Techniques, vol. 62, no. 9, p. 1847–1855, 2014. DOI: 10.1109/TMTT.2014.2343934
  7. NANZER, J. A. A review of microwave wireless techniques for human presence detection and classification. IEEE Transactions on Microwave Theory and Techniques, 2017, vol. 65, no. 5, p. 1780–1794. DOI: 10.1109/TMTT.2017.2650909
  8. WANG, G., GU, C., INOUE, T., et al. A hybrid FMCWinterferometry radar for indoor precise positioning and versatile life activity monitoring. IEEE Transactions on Microwave Theory and Techniques, 2014, vol. 62, no. 11, p. 2812–2822. DOI: 10.1109/TMTT.2014.2358572
  9. HSU, M. L., LIU, T. H., YANG, T. C., et al. Bee searching radar with high transmit-receive isolation using pulse pseudorandom code. IEEE Transactions on Microwave Theory and Techniques, 2016, vol. 64, no. 12, p. 4324–4335. DOI: 10.1109/TMTT.2016.2613531
  10. SIDDIQ, K., WATSON, R. J., PENNOCK, S. R., et al. Phase noise analysis in FMCW radar systems. In 2015 European Radar Conference (EuRAD). Paris (France), 2015, p. 501–504. DOI: 10.1109/EuRAD.2015.7346347
  11. SIDDIQ, K., WATSON, R. J., PENNOCK, S. R., et al. Analysis of sampling clock phase noise in homodyne FMCW radar systems. In 2016 IEEE Radar Conference (RadarConf). Philadelphia (PA, USA), 2016, p. 1–4. DOI: 10.1109/RADAR.2016.7485302
  12. DUDEK, M., NASR, I., KISSINGER, D., et al. The impact of phase noise parameters on target signal detection in FMCW-radar system simulations for automotive applications. In Proceedings of 2011 IEEE CIE International Conference on Radar. Chengdu (China), 2011, p. 494–497. DOI: 10.1109/CIE-Radar.2011.6159587
  13. BUDGE Jr., M. C., BURT, M. P. Range correlation effects in radars. In Record of the 1993 IEEE National Radar Conference. Lynnfield (MA, USA), 1993, p. 212–216. DOI: 10.1109/NRC.1993.270463
  14. BUDGE, M. C., BURT, M. P. Range correlation effects on phase and amplitude noise. In Proceedings of IEEE Southeastcon '93, Charlotte (NC, USA), 1993, p. 1–5. DOI: 10.1109/SECON.1993.465731
  15. JENIK, V., HUDEC, P., PANEK, P. Noise parameters of CW radar sensors used in active defence systems, Radioengineering, 2011, vol. 21, no. 2, p. 632–639. ISSN: 1210-2512
  16. GHEEN, K., AGILENT TECHNOLOGIES, Phase Noise Measurement Methods and Techniques. 48 pages. [Online] Cited 2016- 03-01. Available at: http://www.keysight.com/upload/cmc_upload /All/PhaseNoise_webcast_19Jul12.pdf?&cc=CZ&lc=eng
  17. VISCOR, I., HALAMEK, J. Phase noise measurement. In Proceedings of the Radioelektronika 2001. Brno (Czech Republic), 2001, p. 131–134.
  18. WAN, K. W., AUSTIN, J., VILAR, E. A novel approach to the simultaneous measurement of phase and amplitude noise of oscillators. In Proceedings of the 44th Annual Symposium on Frequency Control. Baltimore (MD, USA), 1990, p. 140–144. DOI: 10.1109/FREQ.1990.177491
  19. RUPPALT, L. B., McKINSTRY, D. R., LAURITZEN, K. C., et al. Simultaneous digital measurement of phase and amplitude noise. In IEEE International Frequency Control Symposium (FCS). Newport Beach (CA, USA), 2010, p. 97–102. DOI: 10.1109/FREQ.2010.5556365
  20. AVILA-RUIZ, J. M., MOSCOSO-MARTIR, A., DURANVALDEIGLESIAS, E., et al. Six-port-based architecture for phase noise measurement in the UWB band. Journal of Electrical and Computer Engineering, 2014, Article ID 646738, p. 1–10. DOI: 10.1155/2014/646738
  21. GHEIDI, H., BANAI, A. Phase-noise measurement of microwave oscillators using phase-shifterless delay-line discriminator. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 2, p. 468–477. DOI: 10.1109/TMTT.2009.2038452
  22. GHEIDI, H., BANAI, A. Phase-shifterless phase-noise measurement of microwave oscillators using high-Q cavity frequency discriminator. In The 81st Microwave Measurement Conference (ARFTG). Seattle (WA, USA), 2013, p. 1–3. DOI: 10.1109/ARFTG.2013.6579027
  23. RUBIOLA, E. The measurement of AM noise of oscillators. In International Frequency Control Symposium and Exposition 2006. Miami (FL, USA), 2006, p. 750–758. DOI: 10.1109/FREQ.2006.275483

Keywords: CW radar, FM-CW radar, PN-CW radar, amplitude noise, phase noise, noise analysis, noise model