ISSN 1210-2512 (Print)

ISSN 1805-9600 (Online)

Radioengineering

Radioeng

Proceedings of Czech and Slovak Technical Universities

About the Journal
Feature Articles
Editorial Board
Publishing Department
Society [CZ]

Log out
Your Profile
Administration

December 2014, Volume 23, Number 4

Show all Hide all

A. Lamecki, L. Balewski, M. Mrozowski [references] [full-text] [Download Citations]
An Efficient Framework For Fast Computer Aided Design of Microwave Circuits Based on the Higher-Order 3D Finite-Element Method

In this paper, an efficient computational framework for the full-wave design by optimization of complex microwave passive devices, such as antennas, filters, and multiplexers, is described. The framework consists of a computational engine, a 3D object modeler, and a graphical user interface. The computational engine, which is based on a finite element method with curvilinear higher-order tetrahedral elements, is coupled with built-in or external gradient-based optimization procedures. For speed, a model order reduction technique is used and the gradient computation is achieved by perturbation with geometry deformation, processed on the level of the individual mesh nodes. To maximize performance, the framework is targeted to multicore CPU architectures and its extended version can also use multiple GPUs. To illustrate the accuracy and high efficiency of the framework, we provide examples of simulations of a dielectric resonator antenna and full-wave design by optimization of two diplexers involving tens of unknowns, and show that the design can be completed within the duration of a few simulations using industry-standard FEM solvers. The accuracy of the design is confirmed by measurements.

  1. RUBIO, J., ARROYO, J., ZAPATA, J. Analysis of passive microwave circuits by using a hybrid 2-D and 3-D finite element mode-matching method. IEEE Transactions on Microwave Theory and Techniques, 1999, vol. 47, p. 1746 - 1749.
  2. INGELSTROM, P. A new set of H(curl)-conforming hierarchical basis functions for tetrahedral meshes. IEEE Transactions on Microwave Theory and Techniques, 2006, Vol. 54, p. 106 - 114.
  3. SCHOBERL, J. NETGEN An advancing front 2D/3D-mesh generator based on abstract rules. Computing and Visualization in Science, 1997, vol. 1, p. 41 - 52.
  4. DHAENE, T., UREEL, J., FACHE, N., DE ZUTTER, D. Adaptive frequency sampling algorithm for fast and accurate S-parameter modeling of general planar structures. In IEEE MTT-S International Microwave Symposium Digest. Orlando (FL, USA), 1995, p. 1427 - 143.
  5. SLONE, R. D., LEE, J.-F., LEE, R. Multipoint Galerkin asymptotic waveform evaluation. In IEEE Antennas and Propagation Society International Symposium. Salt Lake City (UT, USA), 2000, vol. 4, p. 2356 - 2359.
  6. SLONE, R. D., LEE, R., LEE, J.-F. Well-conditioned asymptotic waveform evaluation for finite elements. IEEE Transactions on Antennas and Propagation, 2003, vol. 51, no. 9, p. 2442 - 2446.
  7. DE LA RUBIA, V., RAZAFISON, U., MADAY, Y. Reliable fast frequency sweep for microwave devices via the reduced-basis method. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 12, p. 2923 - 2937.
  8. ODABASIOGLU, A., CELIK, M., PILEGGI, L. T. PRIMA: passive reduced-order interconnect macromodeling algorithm. In IEEE/ ACM International Conference on Computer-Aided Design, 1997, p. 58 - 65.
  9. SHEEHAN, B. N. ENOR: model order reduction of RLC circuits using nodal equations for efficient factorization. In 36th Design Automation Conference Proceedings. 1999, p. 17 - 21.
  10. CAMERON, R. J. General coupling matrix synthesis methods for Chebyshev filtering functions. IEEE Transactions on Microwave Theory and Techniques, 1999, Vol. 47, no, 4, p. 433 - 442.
  11. GUSTAVSEN, B., SEMLYEN, A. Rational approximation of frequency domain responses by vector fitting. IEEE Transactions on Power Delivery, 1999, vol. 14, no. 3, p. 1052 - 1061.
  12. Open CASCADE Technology, 3D Modeling & Numerical Simulation [Online]. Available at: http://www.opencascade.org/
  13. BANDLER, J. W., BIERNACKI, R., CHEN, S. H., GROBELNY, P. A., HEMMERS, R. H. Space mapping technique for electromagnetic optimization. IEEE Transactions on Microwave Theory and Techniques, 1994, vol. 42, no. 12, p. 2536 - 2544.
  14. NIKOLOVA, N. K., ZHU, J., LI, D., BAKR, M. H., BANDLER, J. W. Sensitivity analysis of network parameters with electromagnetic frequency-domain simulators. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 2, p. 670 - 681.
  15. KOZAKOWSKI, P., MROZOWSKI, M. Quadratic programming approach to coupled resonator filter CAD. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 11, p. 3906 - 3913.
  16. KOZAKOWSKI, P., MROZOWSKI, M. Automated CAD of coupled resonator filters. IEEE Microwave and Wireless Components Letters, 2002, vol. 12, no. 12, p. 470 - 472.
  17. JEDRZEJEWSKI, A., LESZCZYNSKA, N., SZYDLOWSKI, L., MROZOWSKI, M. Zero-pole approach to computer aided design of in-line SIW filters with transmission zeros. Progress in Electromagnetics Research, 2012, vol. 131, p. 517 - 533.
  18. FOTYGA, G., NYKA, K., MROZOWSKI, M. Multilevel model order reduction with generalized compression of boundaries for 3- D FEM electromagnetic analysis. Progress in Electromagnetics Research, 2013, vol. 139, p. 743 - 759.
  19. DZIEKONSKI, A., SYPEK, P., LAMECKI, A., MROZOWSKI, M. Finite element matrix generation on a GPU. Progress in Electromagnetics Research, 2012, vol. 128, p. 249 - 265.
  20. DZIEKONSKI, A., SYPEK, P., LAMECKI, A., MROZOWSKI, M. Generation of large finite-element matrices on multiple graphics processors. International Journal for Numerical Methods in Engineering, 2013, vol. 94, no. 2, p. 204 - 220.
  21. DZIEKONSKI, A., SYPEK, P., LAMECKI, A., MROZOWSKI, M. GPU-accelerated finite-element matrix generation for lossless, lossy, and tensor Media. IEEE Antennas and Propagation Magazine, 2014 (in print).
  22. BOROWIEC, R. , KUCHARSKI, A. A. , SLOBODZIAN, P. M. Slot excited dielectric resonator antenna above a cavity analysis and experiment. In XVI International Conference on Microwaves, Radar and Wireless Communications (MIKON). Krakow (Poland), 2006, vol. 2, p. 824 - 827.
  23. eminvent.com - InventSim [Online]. Available at: http:// www.eminvent.com

Keywords: EM, computational electromagnetics, microwave filters, multiplexer design, CAD, electromagnetic optimization

T. Stefański, S. Orłowski, B. Reichel [references] [full-text] [Download Citations]
Parallel Implementation of the Discrete Green's Function Formulation of the FDTD Method on a Multicore Central Processing Unit

Parallel implementation of the discrete Green's function formulation of the finite-difference time-domain (DGF-FDTD) method was developed on a multicore central processing unit. DGF-FDTD avoids computations of the electromagnetic field in free-space cells and does not require domain termination by absorbing boundary conditions. Computed DGF-FDTD solutions are compatible with the FDTD grid enabling the perfect hybridization of FDTD with the use of time-domain integral equation methods. The developed implementation can be applied to simulations of antenna characteristics. For the sake of example, arrays of Yagi-Uda antennas were simulated with the use of parallel DGF-FDTD. The efficiency of parallel computations was investigated as a function of the number of current elements in the FDTD grid. Although the developed method does not apply the fast Fourier transform for convolution computations, advantages stemming from the application of DGF-FDTD instead of FDTD can be demonstrated for one-dimensional wire antennas when simulation results are post-processed by the near-to-far-field transformation.

  1. TAFLOVE, A., HAGNESS, S. C. Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Boston (USA): Artech House, 2005.
  2. VAZQUEZ, J., PARINI, C. G. Discrete Green’s function formulation of FDTD method for electromagnetic modelling. Electronics Letters, 1999, vol. 35, no. 7, p. 554 - 555.
  3. HOLTZMAN, R., KASTNER, R. The time-domain discrete Green’s function method (GFM) characterizing the FDTD grid boundary. IEEE Transactions on Antennas and Propagation, 2001, vol. 49, no. 7, p. 1079 - 1093.
  4. KASTNER, R. A multidimensional z-transform evaluation of the discrete finite difference time domain Green’s function. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 4, p. 1215 - 1222.
  5. JENG, S.-K. An analytical expression for 3-D dyadic FDTDcompatible Green’s function in infinite free space via z-transform and partial difference operators. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 4, p. 1347 - 1355.
  6. MA, W., RAYNER, M. R., PARINI, C. G. Discrete Green’s function formulation of the FDTD method and its application in antenna modeling. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 1, p. 339 - 346.
  7. HOLTZMAN, R., KASTNER, R., HEYMAN, E., ZIOLKOWSKI, R. W. Ultra-wideband cylindrical antenna design using the Green’s function method (GFM) as an absorbing boundary condition (ABC) and the radiated field propagator in a genetic optimization. Microwave and Optical Technology Letters, 2006, vol. 48, no. 2, p. 348 - 354.
  8. MIRHADI, S., SOLEIMANI, M., ABDOLALI, A. An FFT-based approach in acceleration of discrete Green’s function method for antenna analysis. Progress In Electromagnetics Research M, 2013, vol. 29, p. 17 - 28.
  9. MIRHADI, S., SOLEIMANI, M., ABDOLALI, A. UWB antennas analysis using FDTD-based discrete Green’s function approach. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 1089 - 1093.
  10. STEFANSKI, T. P. Hybrid technique combining the FDTD method ´ and its convolution formulation based on the discrete Green’s function. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 1448 - 1451.
  11. STEFANSKI, T. P. Application of the discrete Green’s function- ´ based antenna simulations for excitation of the total-field/scattered- field interface in the FDTD method. Microwave and Optical Technology Letters, 2014, vol. 56, no. 8, p. 1949 - 1953.
  12. DE HON, B. P., ARNOLD, J. M. Stable FDTD on disjoint domains - a discrete Green’s function diakoptics approach. Proceedings of the Second European Conference on Antennas and Propagation. Edinburgh (UK), 2007, p. 1 - 6.
  13. BENKLER, S., CHAVANNES, N., KUSTER, N. Novel FDTD Huygens source enables highly complex simulation scenarios on ordinary PCs. Proceedings of the IEEE Antennas and Propagation Society International Symposium. Charleston (SC, USA), 2009, p. 1 - 4.
  14. STEFANSKI, T. P. Fast implementation of FDTD-compatible ´ Green’s function on multicore processor. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 81 - 84.
  15. STEFANSKI, T. P., KRZY ´ ZANOWSKA, K. Implementation of ˙ FDTD-compatible Green’s function on graphics processing unit. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 1422 - 1425.
  16. STEFANSKI, T. P. Implementation of FDTD-compatible Green’s ´ function on heterogeneous CPU-GPU parallel processing system. Progress In Electromagnetics Research, 2013, vol. 135, p. 297 - 316.
  17. STEFANSKI, T. P. Accuracy of the discrete Green’s function for- ´ mulation of the FDTD method. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 2, p. 829 - 835.
  18. WATANABE, S., TAKI, M. An improved FDTD model for the feeding gap of a thin-wire antenna. IEEE Microwave and Guided Wave Letters, 1998, vol. 8, no. 4, p. 152 - 154.
  19. ORŁOWSKI, S., STEFANSKI, T. P. Development of graphical ´ user interface for modern FDTD simulation tool. In Proceedings of the Progress in Electromagnetics Research Symposium. Stockholm (Sweden), 2013, p. 1210 - 1214.
  20. MARTIN, T. An improved near- to far-zone transformation for the finite-difference time-domain method. IEEE Transactions on Antennas and Propagation, 1998, vol. 46, no. 9, p. 1263 - 1271.

Keywords: Computational electromagnetics, discrete Green's function (DGF), finite-difference time-domain (FDTD) method, parallel processing.

A. Iqbal, V. Jeoti [references] [full-text] [Download Citations]
An Improved Split-Step Wavelet Transform Method for Anomalous Radio Wave Propagation Modelling

Anomalous tropospheric propagation caused by ducting phenomenon is a major problem in wireless communication. Thus, it is important to study the behavior of radio wave propagation in tropospheric ducts. The Parabolic Wave Equation (PWE) method is considered most reliable to model anomalous radio wave propagation. In this work, an improved Split Step Wavelet transform Method (SSWM) is presented to solve PWE for the modeling of tropospheric propagation over finite and infinite conductive surfaces. A large number of numerical experiments are carried out to validate the performance of the proposed algorithm. Developed algorithm is compared with previously published techniques; Wavelet Galerkin Method (WGM) and Split-Step Fourier transform Method (SSFM). A very good agreement is found between SSWM and published techniques. It is also observed that the proposed algorithm is about 18 times faster than WGM and provide more details of propagation effects as compared to SSFM.

  1. LEVY, M. Parabolic Equation Methods for Electromagnetic Wave Propagation. Inst. of Engineering & Technology, 2000.
  2. LEONTOVICH, M., FOCK, V. Solution of the problem of propagation of electromagnetic waves along the Earth’s surface by the method of parabolic equation. Acad. Sci. USSR. J. Phys, 1946, vol. 10, p. 13–24.
  3. KO, H., SARI, J., THOMAS, M., HERCHENROEDER, P., MARTONE, P. Anomalous propagation and radar coverage through inhomogeneous atmospheres. AGARD Characteristics of the Lower Atmosphere Influencing Radio Wave Propagation 14 p(SEE N 84- 24943 15-32), 1984.
  4. CLAERBOUT, J. Fundamentals of Geophysical Data Processing. New York: McGraw Hill, 1975.
  5. CLAYTON, R., ENGQUIST, B. Absorbing boundary conditions for acoustic and elastic wave equations. Bulletin of the Seismological Society of America, 1977, vol. 67, no. 6, p. 1529–1540.
  6. COLE, J. Modern developments in transonic flow. SIAM Journal on Applied Mathematics, 1975, vol. 29, no. 4, p. 763–787.
  7. HASEGAWA, A., TAPPERT, F. Transmission of stationary nonlinear optical pulses in dispersive dielectric fibers. i. anomalous dispersion. Applied Physics Letters, 1973, vol. 23, no. 3, p. 142–144.
  8. TAPPERT, F., HARDIN, R. Application of the split-step Fourier method to the numerical solution of nonlinear and variablecoefficient wave equations. SIAM Review, 1973, vol. 15, p. 423.
  9. COOLEY, J., TUKEY, J. An algorithm for the machine calculation of complex Fourier series. Mathematics of Computations, 1965, vol. 19, no. 90, p. 297–301.
  10. SINGLETON, R. An algorithm for computing the mixed radix fast Fourier transform. IEEE Transactions on Audio and Electroacoustics, 1969, vol. 17, no. 2, p. 93–103.
  11. OPPENHEIM, A., WEINSTEIN, C. Effects of finite register length in digital filtering and the fast Fourier transform. Proceedings of the IEEE, 1972, vol. 60, no. 8, p. 957–976.
  12. ISAAKIDIS, S., XENOS, T. Parabolic equation solution of tropospheric wave propagation using FEM. Progress In Electromagnetics Research, 2004, vol. 49, p. 257–271.
  13. DESHPANDE, V., DESHPANDE, M. Study of electromagnetic wave propagation through dielectric slab doped randomly with thin metallic wires using finite element method. IEEE Microwave and Wireless Components Letters, 2005, vol.15, no. 5, p. 306–308.
  14. ORAIZI, H., HOSSEINZADEH, S. A novel marching algorithm for radio wave propagation modeling over rough surfaces. Progress In Electromagnetics Research, 2006, vol. 57, p. 85-100.
  15. ARSHAD, K., KATSRIKU, F., LASEBAE, A. An investigation of wave propagation over irregular terrain and urban streets using fi- nite elements, Proceedings of the 6th WSEAS Int. Conference on Telecommunications and Informatics, 2007, p. 105-110.
  16. APAYDIN, G., SEVGI, L. Numerical investigations of and path loss predictions for surface wave propagation over sea paths including hilly island transitions. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 4, p. 1302–1314.
  17. MEHRA, M., KEVLAHAN, N. An adaptive wavelet collocation method for the solution of partial differential equations on the sphere. Journal of Computational Physics, 2008, vol. 227, no. 11, p. 5610- 5632.
  18. DAUBECHIES, I. Orthonormal bases of compactly supported wavelets. Communications on Pure and Applied Mathematics, 1988, vol. 41, no. 7, p. 909–996.
  19. GLOWINSKI, R., LAWTON, W., RAVACHOL, M., TENENBAUM, E. Wavelet solutions of linear and nonlinear elliptic, parabolic and hyperbolic problems in one space dimension. Computing Methods in Applied Sciences and Engineering, 1990, p. 55-120.
  20. LIANDRAT, J. Resolution of the 1D regularized burgers equation using a spatial wavelet approximation, ICASE Report No. 90-83 , 1990.
  21. AMARATUNGA, K., WILLIAMS, J., QIAN, S., WEISS, J. Wavelet-Galerkin solutions for one dimensional partial differential equations. International Journal for Numerical Methods in Engineering, 1994, vol. 37, no. 16, p. 2703-2716.
  22. NIELSEN, O. Wavelets in Scientific Computing. Ph.D. thesis. Informatics and Mathematical Modelling, Technical University of Denmark, 1998.
  23. IQBAL, A., JEOTI, V. A novel wavelet-Galerkin method for modeling radio wave propagation in tropospheric ducts. Progress In Electromagnetics Research B, 2012, vol. 36, p. 35-52.
  24. PIERCE, I., WATKINS, L. Modelling optical pulse propagation in nonlinear media using wavelets. Proceedings of the IEEE-SP International Symposium on Time-Frequency and Time-Scale Analysis, 1996, p. 361-363.
  25. PASKYABI, M. B., RASHIDI, F. Split step wavelet Galerkin method based on parabolic equation model for solving underwater wave propagation. In Proceedings of the 5th WSEAS International Conference on Wavelet Analysis and Multirate Systems, USA, 2005, p. 1-7.
  26. LANDOLSI, T. Accuracy of the split-step wavelet method using various wavelet families in simulating optical pulse propagation. Journal of the Franklin Institute, 2006, vol. 343, no. 4-5, p. 458-467.
  27. IQBAL, A., JEOTI, V. A split step wavelet method for radiowave propagation modelling in troposheric ducts. 2011 IEEE International RF and Microwave Conference, 2011, p. 67-70.
  28. IQBAL, A., JEOTI, V. Numerical modeling of radio wave propagation in horizontally inhomogeneous environment using split-step wavelet method. In 4th International Conference on Intelligent and Advanced Systems (ICIAS), 2012, p. 200-205.
  29. ANTOINE, X., ARNOLD, A., BESSE, C., EHRHARDT, M., SCHDLE, A. A review of transparent and artificial boundary conditions techniques for linear and nonlinear schrodinger equations. Communications in Computational Physics, 2008, vol. 4, no. 4, p. 729-796.
  30. SIRKOVA, I., MIKHALEV, M. Parabolic wave equation method applied to the tropospheric ducting propagation problem: a survey. Electromagnetics, 2006, vol. 26, no. 2, p. 155-173.
  31. KREMP, T. Split-step wavelet collocation methods for linear and nonlinear optical wave propagation. Ph.D. thesis, Institute of Photonics and Quantum Electronics, Karlsruhe Institute of Technology, 2002.
  32. QIAN, S., WEISS, J. Wavelets and the numerical solution of partial differential equations. Journal of Computational Physics, 1993, vol. 106, no. 1, p. 155-175.
  33. LU, D., OHYOSHI, T., ZHU, L. Treatment of boundary conditions in the application of wavelet-Galerkin method to an SH wave problem. International Journal of the Society of Materials Engineering for Resources, 1997, vol. 5, no. 1, p. 15-25.
  34. KUTTLER, J., DOCKERY, G. An improved-boundary algorithm for Fourier split-step solutions of the parabolic wave equation. IEEE Transactions on Antennas and Propagation, 1996, vol. 44, no. 12, p. 1592-1599.
  35. KUTTLER, J., JANASWAMY, R. Improved Fourier transform methods for solving the parabolic wave equation. Radio Science, 2002, vol. 37, no. 2, p. 1021.
  36. BALAGURUSAMY, E. Numerical Methods. New Delhi: Tata McGraw-Hill Pub.Co.Ltd, 1999.
  37. JANASWAMY, R. A Rigorous Way of Incorporating Sea Surface Roughness Into the Parabolic Equation, PN, 1995.

Keywords: Radio wave propagation, parabolic equation method, Split Step Fourier transform, split step wavelet transform, wavelet Galerkin, tropospheric duct

G. Akinci, A. E. Yilmaz, M. Kuzuoglu [references] [full-text] [Download Citations]
Excessive Memory Usage of the ELLPACK Sparse Matrix Storage Scheme throughout the Finite Element Computations

Sparse matrices are occasionally encountered during solution of various problems by means of numerical methods, particularly the finite element method. ELLPACK sparse matrix storage scheme, one of the most widely used methods due to its implementation ease, is investigated in this study. The scheme uses excessive memory due to its definition. For the conventional finite element method, where the node elements are used, the excessive memory caused by redundant entries in the ELLPACK sparse matrix storage scheme becomes negligible for large scale problems. On the other hand, our analyses show that the redundancy is still considerable for the occasions where facet or edge elements have to be used.

  1. VAZQUEZ, F., ORTEGA, G., FERNANDEZ, J. J., GARZON, E. M. Improving the performance of the sparse matrix vector product with GPUs. In Proceedings of the IEEE 10th International Conference on Computer and Information Technology. Bradford (United Kingdom), 2010, p. 1146–1151.
  2. BUATOIS, L., CAUMON, G., LEVY, B. Concurrent number cruncher: A GPU implementation of a general sparse linear solver. International Journal of Parallel, Emergent and Distributed Systems, 2009, vol. 24, no. 3, p. 205–223.
  3. MONAKOV, A., LOKHMOTOV, A., AVETISYAN, A. Automatically tuning sparse matrix-vector multiplication for GPU architectures. Lecture Notes in Computer Science (LNCS), 2010, vol. 5952, p. 111–125.
  4. CENDES, Z. J. Vector finite elements for electromagnetics field computation. IEEE Transactions on Magnetics, 1991, vol. 27, no. 5, p. 3958–3966.
  5. COULOMB, J. L. Finite elements three dimensional magnetic field computation. IEEE Transactions on Magnetics, 1981, vol. 17, no. 6, p. 3241–3246.
  6. WANG, R., DEMERDASH, N. A. On the effects of grid illconditioning in three dimensional finite element vector potential magnetostatic field computations. IEEE Transactions on Magnetics, 1990, vol. 26, no. 5, p. 2190–2192.
  7. WU, J. Y., LEE, R. The advantages of triangular and tetrahedral edge elements for electromagnetic modeling with the finite-element method. IEEE Transactions on Antennas and Propagation, 1997, vol. 45, no. 9, p. 1431–1437.
  8. WARREN, G. S., SCOTT, W. R. An investigation of numerical dispersion in the vector finite-element method using quadrilateral elements. IEEE Transactions on Antennas and Propagation, 1994, vol. 42, no. 11, p. 1502–1508.
  9. BERENGER, J. P. A perfectly matched layer for the absorption of electromagnetic waves. Journal of Computational Physics, 1994, vol. 114, p. 185–200.
  10. CHEW, W. C, WEEDON, W. H. A 3D perfectly matched medium from modified Maxwell’s equations with stretched coordinates. Microwave and Optical Technology Letters, 1994, vol. 7, no. 13, p. 599–604.
  11. VOLAKIS, J. L., CHATTERJEE, A., KEMPEL, L. C. Finite Element Method for Electromagnetics – Antennas, Microwave Circuits, and Scattering Applications. 1st ed. New York (NY, USA): IEEE Press, 1998.
  12. JIN, J. The Finite Element Method in Electromagnetics. 2nd ed. New York (NY, USA): Wiley Interscience, 2002.

Keywords: Finite element method, sparse matrix, edge elements, computational electromagnetics, ELLPACK

C. Onol, O. Ergul [references] [full-text] [Download Citations]
Optimizations of Patch Antenna Arrays Using Genetic Algorithms Supported by the Multilevel Fast Multipole Algorithm

We present optimizations of patch antenna arrays using genetic algorithms and highly accurate full-wave solutions of the corresponding radiation problems with the multilevel fast multipole algorithm (MLFMA). Arrays of finite extent are analyzed by using MLFMA, which accounts for all mutual couplings between array elements efficiently and accurately. Using the superposition principle, the number of solutions required for the optimization of an array is reduced to the number of array elements, without resorting to any periodicity and similarity assumptions. Based on numerical experiments, genetic optimizations are improved by considering alternative mutation, crossover, and elitism mechanisms. We show that the developed optimization environment based on genetic algorithms and MLFMA provides efficient and effective optimizations of antenna excitations, which cannot be obtained with array-factor approaches, even for relatively simple arrays with identical elements.

  1. ORCHARD, H. J., ELLIOT, R. S., STERN G. J. Optimising the synthesis of shaped beam antenna patterns. IEEE Proceedings H, 1985, vol. 132, no. 1, p. 63 - 68.
  2. BUCCI, O. M., D’ELIA, G., MAZZARELLA, G., PANARIELLO, G. Antenna pattern synthesis: a new general approach. Proceedings IEEE, 1994, vol. 82, no. 3, p. 358 - 371.
  3. VASKELAINEN, L. Phase synthesis of conformal array antennas. IEEE Transactions AntennasPropagation, 2000, vol. 48, no. 6, p. 987 - 991.
  4. BEVELACQUA, P. J., BALANIS, C. A. Optimizing antenna array geometry for interference suppression. IEEE Transactions AntennasPropagation, 2007, vol. 55, no. 3, p. 637 - 641.
  5. BEVELACQUA, P. J., BALANIS, C. A. Geometry and weight optimization for minimizing sidelobes in wideband planar arrays. IEEE Transactions Antennas Propagation, 2009, vol. 57, no. 4, p. 1285 - 1289.
  6. YANG, K., ZHAO, Z., NIE, Z., OUYANG, J., LIU, Q. H. Synthesis of conformal phased arrays with embedded element pattern decomposition. IEEE Transactions AntennasPropagation, 2011, vol. 59, no. 8, p. 2882 - 2888.
  7. ERGUL, ¨ O, G ¨ UREL, L. Modeling and synthesis of circular-sectoral ¨ arrays of log-periodic antennas using multilevel fast multipole algorithm and genetic algorithms. Radio Sci., 2007, vol. 42, no. 3018.
  8. BALANIS, C. A. Antenna Theory: Analysis and Design. 3 rd ed. Wiley, 2005.
  9. GUPTA, I. J., KSIENSKI, A. A. Effect of mutual coupling on the performance of adaptive arrays. IEEE Transactions Antennas Propagation, 1983, vol. 31, no. 5, p. 785 - 791.
  10. SHAVIT, R., RIVKIN, E. An efficient and practical decoupling feeding network for antenna phased arrays. IEEE Antennas Wireless Propag. Lett., 2010, vol. 9, p. 966 - 969.
  11. ALLARD, R. J., WERNER, D. H., WERNER, P. L. Radiation pattern synthesis for arrays of conformal antennas mounted on arbitrarily-shaped three-dimensional platforms using genetic algorithms. IEEETransactions Antennas Propag., 2003, vol. 51, no. 5, p. 1054 - 1062.
  12. YAN, K.-K., LU, Y. Sidelobe reduction in array-pattern synthesis using genetic algorithm. IEEE Transactions Antennas Propagation, 1997, vol. 45, no. 7, p. 1117 - 1122.
  13. RAHMAT-SAMII, Y., MICHIELSSEN, E. Electromagnetic Optimization by Genetic Algorithms. Wiley, 1999.
  14. CHEW, W. C., JIN, J.-M., MICHIELSSEN, E., SONG, J. Fast and Efficient Algorithms in Computational Electromagnetics. Boston: Artech House, 2001.
  15. ERGUL, ¨ O, G ¨ UREL, L. ¨ The Multilevel Fast Multipole Algorithm for Solving Large-Scale Computational Electromagnetics. Wiley, 2014.
  16. RAO, S. M., WILTON, D. R., GLISSON A. W. Electromagnetic scattering by surfaces of arbitrary shape. IEEE Transactions. Antennas Propagation, 1982, vol. 30, no. 3, p. 409 - 418.
  17. YANG, J. O., YUAN, Q. R., YANG, F., ZHOU, H. J., NIE, Z. P., ZHAO, Z. Q. Synthesis of conformal phased array with improved NSGA-II algorithm. IEEE Transactions Antennas Propagation, 2009, vol. 57, no. 12, p. 4006 - 4009.
  18. MATLAB version 8.2.0.701. Natick, Massachusetts: The MathWorks Inc., 2013.
  19. SAAD, Y., SCHULTZ, M. H. GMRES: A generalized minimal residual algorithm for solving nonsymmetric linear systems. SIAM Journal on Scientific Computing, 1986, vol. 7, no. 3, p. 856 - 869.

Keywords: Antenna arrays, patch antennas, antenna optimizations, genetic algorithms, multilevel fast multipole algorithm.

K. Y. You, Z. Abbas, C.Y. Lee, M. F. A. Malek, K. Y. Lee, E. M. Cheng [references] [full-text] [Download Citations]
Modelling and Measuring Dielectric Constants for Very Thin Materials Using a Coaxial Probe

This paper is focused on the non-destructive measurement of the dielectric constants (relative permittivities) of thin dielectric material (0.1 mm – 0.5 mm) using an open-ended coaxial probe with an outer diameter of 4.1 mm. Normalized de-embedding and network error calibration procedures were applied to the coaxial probe. The measured reflection coefficients for the thin samples were taken with a vector network analyzer up to 7 GHz, and the calibrated reflection coefficients were converted to relative dielectric constant using an empirical reflection-coefficient model. The empirical model was created using the regression method and expressed as a polynomial model, and the coefficients of model were obtained by fitting with the data using the Finite Element Method (FEM).

  1. HASAR, U. C. Permittivity measurement of thin dielectric materials from reflection-only measurements using one-port vector network analyzers. Progress in Electromagnetics Research, 2009, vol. 95, p. 365–380.
  2. ALANEN, E., LAHTINEN, T., NUUTINEN, J. Variational formulation of open-ended coaxial line in contact with a layered biological medium. IEEE Transactions on Biomedical Engineering, 1998, vol. 45, no. 10, p. 1241–1248.
  3. NICOLSON, A. M., ROSS, G. F. Measurement of the intrinsic properties of materials by time-domain. IEEE Transactions on Instrumentation Measurement, 1970, vol. 19, no. 4, p. 377–382.
  4. WEIR, W. B. Automatic measurement of complex dielectric constant and permeability at microwave frequencies. Proceedings of the IEEE, 1974, vol. 62, no. 1, p. 33–36.
  5. BAKER-JARVIS, J., VANZURA, E. J., KISSICK W. A. Improved technique for determining complex permittivity with transmission/reflection method. IEEE Transactions on Microwave Theory and Techniques, 1990, vol. 38, no. 8, p. 1096–1103.
  6. CHALAPAT, K., SARVALA K., LI, J., PARAOANU, G. S. Wide reference-plane invariant method for measuring electromagnetic parameters of materials. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 9, p. 2257–2267.
  7. IATROU, C. T., CAVENAGO, M. Field analysis of rectangular waveguide open junction. IEEE Transactions on Microwave Theory and Techniques, 1997, vol. 45, no. 2, p. 165–172.
  8. HYDE, M. W., HAVRILLA, M. J. Measurement of complex permittivity and permeability using two flanged rectangular waveguides. In IEEE/MTT-S International Microwave Symposium. Honolulu, (HI, USA), 3-8 June 2007.
  9. YOU, K. Y., ABBAS, Z., KHALID, K. Application of microwave moisture sensor for determination of oil palm fruit ripeness. Measurement Science Review, 2010, vol. 10, p. 7–14.
  10. WU, M. Z., YAO, X., ZHANG, L. Y. An improved coaxial probe technique for measuring microwave permittivity of thin dielectric materials. Measurement Science and Technology, 2000, vol. 11, p. 1617–1622.
  11. KRASZEWSKI, A., STUCHLY, M. A., STUCHLY, S. S. ANA calibration method for measurements of dielectric properties. IEEE Transactions on Instrumentation Measurement, 1983, vol. 32, no. 2, p. 385–386.
  12. GHANNOUCHI, F. M., MOHAMMADI A. The Six-Port Technique with Microwave and Wireless Applications. Norwood: Artech House, 2009, p. 113–117.
  13. BLACKHAM, D. V., POLLARD, R. D. An improved technique for permittivity measurements using a coaxial probe. IEEE Transactions on Instrumentation Measurement, 1997, vol. 46, no. 5, p. 1093–1099.
  14. JONSCHER, A. K. Dielectric Relaxation in Solids, London: Chelsea Dielectrics Press, 1983.

Keywords: Relative effective permittivity, one-port calibration, measured reflection coefficient, open-ended coaxial probe, thin dielectric substrate

M. Dhaouadi, M. Mabrouk, T. P. Vuong, A. C. de Souza, A. Ghazel [references] [full-text] [Download Citations]
A Broadband UHF Tag Antenna For Near-Field and Far-Field RFID Communications

The paper deals with the design of passive broadband tag antenna for Ultra-High Frequency (UHF) band. The antenna is intended for both near and far fields Radio Frequency Identification (RFID) applications. The meander dipole tag antenna geometry modification is designed for frequency bandwidth increasing. The measured bandwidth of the proposed broadband Tag antenna is more than 140 MHz (820–960 MHz), which can cover the entire UHF RFID band. A comparison between chip impedance of datasheet and the measured chip impedance has been used in our simulations. The proposed progressive meandered antenna structure, with an overall size of 77 mm × 14 mm × 0.787 mm, produces strong and uniform magnetic field distribution in the near-field zone. The antenna impedance is matched to common UHF chips in market simply by tuning its capacitive and inductive values since a perfect matching is required in the antenna design in order to enhance the near and the far field communications. Measurements confirm that the designed antenna exhibits good performance of Tag identification for both near-field and far-field UHF RFID applications.

Errata: Original version submitted after the deadline (is published on the authors' demand).

  1. FINKENZELLER, K. RFID Handbook. 2nd ed., John Wiley & Sons, 2003.
  2. RAO, K. V. S., NIKITIN, P. V., LAM, S. F. Antenna design for UHF RFID tags: a review and a practical application. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 12, p. 3870-3876.
  3. SHRESTHA, B., ELSHERBENI, A., UKKONEN, L. UHF RFID reader antenna for near-field and far-field operations. IEEE Antennas and Wireless Propagation Letters, Nov. 2011, vol. 10, p. 1274-1277.
  4. QING, X., GOH, C., NING CHEN, Z. A broadband UHF nearfield RFID antenna. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 12, p. 3829-3838.
  5. LAI, X. Z., XIE, Z., CEN, X. A compact RFID reader antenna for UHF near-field and far-field operations. International Journal of Antennas and Propagation, July 2013, vol. 2013, Article ID 961042, 5 pages.
  6. SHI, J., QING, X., CHEN, Z. N., GOH, C. K. Electrically large dual-loop antenna for UHF near-field RFID reader. IEEE Transactions on Antennas and Propagation, March 2013, vol. 61, p. 1019–1025.
  7. CHOI, W., KIM, J.-S., BAE, J.-H., CHOI, G., CHAE, J.-S. Nearfield antenna for a radio frequency identification shelf in the UHF band. IET Microwave, Antennas & Propagation.2010, vol. 4, no. 10, p. 1538-1542.
  8. DONG, J., LI, X. UHF near-field tags design based on split ring resonator. In 2011 Asia-Pacific Microwave Conference Proceedings (APMC). Melbourne (Australia), Dec. 2011, p 1794-1797.
  9. Ansoft Corporation HFSS. Available at: http://www.ansoft.com/products/hf/hfss.
  10. NIKITIN, P.V., RAO, K. V. S., LAZAR, S. An overview of near field UHF RFID. In Proc. IEEE Int. Conf. RFID. Grapevine (TX, USA), Mar. 2007, p. 167-174.
  11. BIJORNINEN, T., NIKKARI, M., UKKONEN, L., YANG, F., ELSHERBENI, A., SYDANHEIMO, L., KIVIKOSKI, M. Design and RFID signal analysis of a meander line UHF RFID tag antenna. In IEEE Antenna and Propagation Society Int. Symp., July 2008, p. 1-4
  12. NIKITIN, P.V., RAO, K. V. S., MARTINEZ, R., LAM, S. F. Sensitivity and impedance measurements of UHF RFID chips. IEEE Transactions on Microwave Theory and Technique, 2009, vol. 57, no. 5, p. 1297-1302.
  13. MARROCCO, G. The art of UHF RFID antenna design: Impedance-matching and size-reduction techniques. IEEE Antennas and Propagation Magazine, 2008, vol. 50, no. 1, p. 66-79.
  14. Higgs™ 3 UHF RFID Tag IC (datasheet), Available at: http://www.alientechnology.com/wp-content/uploads/Alien Technology-Higgs-3-ALC-360.pdf.
  15. DAIKI, M., CHAABANE, H., PERRET, E., TEDJINI, S., AGUILI, T. RFID chip impedance measurement for UHF tag design. In Proc. PIERS, Mar. 2011, p. 679-680.
  16. CHO, J.-H., SON, H.-W., JEONG, S.-H., CHOI, W.-K., PARK, C.-W. A flexible, wideband RFID tag antenna for metallic surfaces. In Proc. of IEEE Antennas and Propagation Society International Symposium (APSURSI). Chicago (USA), 2012, p. 1-2.
  17. DHAOUADI, M., MABROUK, M., VUONG, T. P., HAMZAOUI, D., GHAZEL, A. Chip impedance matching for UHF-band RFID tag. In Proc. European Conf. on Antennas and Propagation. Gothenburg (Sweden), Apr. 2013, p. 3056-3059.
  18. DHAOUADI, M., MABROUK, M., GHAZEL, A., TEDJINI, S. Electromagnetic analysis of UHF near-field RFID tag antenna. In Proc. of the URSI GASS 2011. Istanbul (Turkey), 2011, p. 1–4.
  19. LI, X., YANG, Z. Dual-printed-dipoles reader antenna for UHF near-field RFID applications. IEEE Antennas and Wireless Propagation Letters, Nov. 2011, vol. 10, p. 239–242.
  20. Mercury6e (M6e) embedded UHF RFID Reader Module (datasheet). Available at: http://www.thingmagic.com/embeddedrfid-readers/mercury6e.

Keywords: Chip, tag antenna, far-field, near-field, Ultra High Frequency (UHF), RF identification (RFID).

F. Bagci, S. Can, B. Akaoglu, A. E. Yilmaz [references] [full-text] [Download Citations]
Polarization Beam Splitter Based on Self-Collimation of a Hybrid Photonic Crystal

A photonic crystal polarization beam splitter based on photonic band gap and self-collimation effects is designed for optical communication wavelengths. The photonic crystal structure consists of a polarization-insensitive self-collimation region and a splitting region. TM- and TE-polarized waves propagate without diffraction in the self-collimation region, whereas they split by 90 degrees in the splitting region. Efficiency of more than 75% for TM- and TE-polarized light is obtained for a polarization beam splitter size of only 17 μm x 17 μm in a wavelength interval of 60 nm including 1.55 μm.

  1. YABLONOVITCH, E. Inhibited spontaneous emission in solid state physics and electronics. Physical Review Letters, 1987, vol. 58, p. 2059–2062.
  2. JOANNOPOULOS, J. D., MEADE, R. D., WINN, J. N. Photonic Crystals: Molding the Flow of Light. 2nd ed. NJ: Princeton, 2008.
  3. JOHNSON, S. G., FAN, S. H., VILLENEUVE, P. R., JOANNOPOULOS, J. D., KOLODZIEJSKI, L. Guided modes in photonic crystal slabs. Physical Review B, 1999, vol. 60, p. 5751 to 5758.
  4. KOSAKA, H., KAWASHIMA, T., TOMITA, A., NOTOMI, M., TAMAMURA, T., SATO, T., KAWAKAMI, S. Self-collimating phenomena in photonic crystals. Applied Physics Letters, 1999, vol. 74, no. 9, p. 1212–1214.
  5. PRATHER, D. W., SHI, S., MURAKOWSKI, J., SCHNEIDER, G. J., SHARKAWY, A., CHEN, C., MIAO, B., MARTIN, R. Self-collimation in photonic crystal structures: a new paradigm for applications and device development. Journal of Physics D:Applied Physics, 2007, vol. 40, no. 9, p. 2635–2651.
  6. YU, X., FAN, S. Bends and splitters for self-collimated beams in photonic crystal. Applied Physics Letters, 2003, vol. 83, no. 16, p. 3251–3253.
  7. ZHAO, D., ZHANG, J., YAO, P., JIANG, X., CHEN, X. Photonic crystal Mach-Zehnder interferometer based on selfcollimation. Applied Physics Letters, 2007, vol. 90, no. 23, p. 231114.
  8. ZABELIN, V., DUNBAR, L. A., THOMAS, N. Le, HOUDRE, R., KOTLYAR, M. V., O’FAOLAIN, L., KRAUSS, T. F. Selfcollimating photonic crystal polarization beam splitter. Optics Letters, 2009, vol. 17, no. 22, p. 19808–19813.
  9. CHEN, X., QIANG, Z., ZHAO, D., LI, H.,QUI, Y., YANG, W., ZHOU, W. Polarization-independent drop filters based on photonic crystal self-collimation ring resonators. Optics Express, 2009, vol. 17, no. 22, p. 19808–19813.
  10. KIM, T. T., LEE, S. G., PARK, H. Y., KIM, J. E., KEE, C. S. Asymmetric Mach-Zehnder filter based on self-collimation phenomenon in two-dimensional photonic crystals. Optics Express, 2010, vol. 18, no. 6, p. 5384-5389.
  11. CrystalWave from Photon Design. Available at: http://www.photond.com.
  12. QUI, M. Effective index method for heterostructure-slabwaveguide-based two dimensional photonic crystals. Applied Physics Letters, 2002, vol. 81, p. 1163–1165.
  13. GEDNEY, S. D. An anisotropic perfectly matched layer absorbing media for the truncation of FDTD lattices. IEEE Transactions on Antennas and Propagation, 1996, vol. 44, no 12, p. 1630–1639.
  14. SOUTHWELL, W. H. Gradient-index antireflection coatings. Optics Letters, 1983, vol. 8, no. 11, p. 584–586.
  15. KIM, J. K., CHHAJED, S., SCHUBERT, M. F., SCHUBERT, E. F., FISCHER, A. J., CRAWFORD, M. H., CHO, J., KIM, H., SONE, C. Light-extraction enhancement of GaInN light-emitting diodes by graded-refractive-index indium tin oxide anti-reflection contact. Advanced Materials, 2008, vol. 20, no. 4, p. 801–804.
  16. ZHAO, Y., CHEN, F., SHEN, Q., ZHANG, L. Optimal design of light trapping in thin-film solar cells enhanced with graded SiNx and SiOxNy structure. Optics Express, 2012, vol. 20, no. 10, p. 11121-11136.
  17. CHEN, X.-Y., LIN, G.-M., LI, J.J., XU, X.F., JIANG, J.Z., QIANG, Z.-X., QUI, Y.S., LI, H. Polarization beam splitter based on a self-collimation Michelson interferometer in a silicon photonic crystal. Chinese Physics Letters, 2012, vol. 29, no. 1, p. 014210-1-4.
  18. SHEN, X., HAN, K., YANG, X., SHEN, Y., LI, H., TANG, G., GUO, Z. Polarization-independent self-collimating bends and beam splitters in photonic crystals. Chinese Optics Letters, 2007, vol. 5, no. 11, p. 662–664.

Keywords: Photonic crystals, self-collimation, photonic band gap

M. T. Jilani, W. P. Wen, L. Y. Cheong, M. A. Zakariya, M. Z. U. Rehman [references] [full-text] [Download Citations]
Equivalent Circuit Modeling of the Dielectric Loaded Microwave Biosensor

This article describes the modeling of biological tissues at microwave frequency using equivalent lumped elements. A microwave biosensor based on microstrip ring resonator (MRR), that has been utilized previously for meat quality evaluation is used for this purpose. For the first time, the ring-resonator loaded with the lossy and high permittivity dielectric material, such as; biological tissue, in a partial overlay configuration is analyzed. The equivalent circuit modeling of the structure is then performed to identify the effect of overlay thickness on the resonance frequency. Finally, the relationship of an overlay thickness with the corresponding RC values of the meat equivalent circuit is established. Simulated, calculated and measured results are then compared for validation. Results are well agreed while the observed discrepancy is in acceptable limit.

  1. VENKATESH, M. S., RAGHAVAN, G. S. V. An overview of dielectric properties measuring techniques. The Journal of the Canadian Society for Bioengineering (CSBE), 2005, vol. 47, p. 7.15–7.30.
  2. ZAJICEK, R., OPPL, L., VRBA, J. Broadband measurement of complex permittivity using reflection method and coaxial probes. Radioengineering, 2008, vol. 17, no. 1, p. 14–19.
  3. AGILENT-TECHNOLOGIES, Basics of Measuring the Dielectric Properties of Material. CA, USA, 2006.
  4. DAMEZ, J.-L., CLERJON, S. Meat quality assessment using biophysical methods related to meat structure. Meat Science, 2008, vol. 80, no. 9, p. 132–149.
  5. DAMEZ, J.-L., CLERJON, S., ABOUELKARAM, S., LEPETIT, J. Beef meat electrical impedance spectroscopy and anisotropy sensing for non-invasive early assessment of meat ageing. Journal of Food Engineering, 2008, vol. 85, p. 116–122.
  6. BASARAN-AKGUL, N., BASARAN, P., RASCO, B. A. Effect of temperature (-5 to 130 degrees C) and fiber direction on the dielectric properties of beef Semitendinosus at radio frequency and microwave frequencies. Journal of Food Science, Aug 2008, vol. 73, no. 6, p. E243-9.
  7. SING, K. NG., GIBSON, A., PARKINSON, G., HAIGH, A., AINSWORTH, P., PLUNKETT, A. Bimodal method of determining fat and salt content in beef products by microwave techniques. IEEE Transactions on Instrumentation and Measurement, 2009, vol. 58, no. 10, p. 3778–3787.
  8. TRABELSI, S. N., STUART O. Use of dielectric spectroscopy for determining quality attributes of poultry meat. In Annual International Meeting of the American Society of Agricultural and Biological Engineers (ASABE). June 21-24, 2009, no. 097035, p. 8.
  9. HAGL, D. M., POPOVIC, D., HAGNESS, S. C., BOOSKE, J. H., OKONIEWSKI, M. Sensing volume of open-ended coaxial probes for dielectric characterization of breast tissue at microwave frequencies. IEEE Transactions on Microwave Theory and Techniques, 2003, vol. 51, p. 1194–1206.
  10. JILANI, M. T., WEN, W. P., ZAKARIYA, M. A, CHEONG, L. Y. Dielectric method for determination of fat content at 1 GHz frequency. In 5th International Conference on Intelligent and Advanced Systems. Kuala Lumpur (Malaysia), June 2014.
  11. JILANI, M. T., WEN, W. P., ZAKARIYA, M. A, CHEONG, L.Y. Microstrip ring resonator based sensing technique for meat quality. In IEEE Symposium on Wireless Technology and Applications. Kuching (Malaysia), 2013.
  12. JILANI, M. T., WEN, W. P., ZAKARIYA, M. A, CHEONG, L.Y. A microwave sensor for non-destructive dielectric characterization of biological systems. Journal of Microwaves, Optoelectronics and Electromagnetic Applications, 2014 (accepted for publication).
  13. BERNARD, P. A., GAUTRAY, J. M. Measurement of dielectric constant using a microstrip ring resonator. IEEE Transactions on Microwave Theory and Techniques, 1991, vol. 39, no. 3 , p. 592 to 595.
  14. CHANG, K., HSIEH, L. H. Microwave Ring Circuits and Related Structures. John Wiley & Sons, 2004.
  15. GUPTA, K. C., GARG, R., BAHL, I., BHARTIA, P. Microstrip Lines and Slotlines. 2nd ed. Artech House, 1996.
  16. JILANI, M. T., WEN, W. P., ZAKARIYA, M. A, CHEONG, L.Y. Dielectric characterization of meat using enhanced coupled ringresonator. In IEEE Asia-Pacific Conference on Applied Electromagnetics, 2014.
  17. YOGI, R., GANGAL, S., AIYER, R., KAREKAR, R. Split modes in asymmetric microstrip ring resonator by flexible perturbation. Microwave and Optical Technology Letters, 1998, vol. 19, no. 2, p. 168–171.
  18. SUMESH SOFIN, R. G., AIYER, R. C. Measurement of dielectric constant using a microwave microstrip ring resonator (MMRR) at 10 GHz irrespective of the type of overlay. Microwave and Optical Technology Letters, October 2005, vol. 47, no. 1, p. 11–14.
  19. ABEGAONKAR, M. P., KAREKAR, R., AIYER, R. C. A microwave microstrip ring resonator as a moisture sensor for biomaterials: application to wheat grains. Measurement Science and Technology, 1999, vol. 10, no. 3, p. 195.
  20. NAVARRO, J. A., CHANG, K. Varactor-tunable uniplanar ring resonators. IEEE Transactions on Microwave Theory and Techniques, 1993, vol. 41, no. 5, p. 760–766.
  21. HSIEH, L. H., CHANG, K. Equivalent lumped elements G, L, C, and unloaded Q's of closed-and open-loop ring resonators. IEEE Transactions on Microwave Theory and Techniques, 2002, vol. 50, no. 2 , p. 453–460.
  22. PUCEL, R. A., MASSE, D. J., HARTWIG, C. P. Losses in microstrip. IEEE Transactions on Microwave Theory and Techniques, 1968, vol. 16, no. 6, p. 342–350.
  23. HOPKINS, R., FREE, C. Equivalent circuit for the microstrip ring resonator suitable for broadband materials characterisation. IET Microwaves, Antennas and Propagation, 2008, vol. 2, no. 1, p. 66–73.
  24. CHANG, K. MARTIN, S. WANG, KLEIN, J. L. On the study of microstrip ring and varactor-tuned ring circuits. IEEE Transactions on Microwave Theory and Techniques, 1987, vol. 35, no. 12 , p. 1288–1295.
  25. BRAY, J. R., ROY, L. Microwave characterization of a microstrip line using a two-port ring resonator with an improved lumpedelement model. IEEE Transactions on Microwave Theory and Techniques, 2003, vol. 51, no. 5 , p. 1540–1547.
  26. BENEDEK, P., SILVESTER, P. Equivalent capacitances for microstrip gaps and steps. IEEE Transactions on Microwave Theory and Techniques, 1972, vol. 20, no. 11 , p. 729–733.
  27. GARG, R., BAHL, I. Microstrip discontinuities. International Journal of Electronics, 1978, vol. 45, no. 1, p. 81–87.
  28. DAMEZ, J.-L., CLERJON, S., ABOUELKARAM, S., LEPETIT, J. Dielectric behavior of beef meat in the 1–1500 kHz range: Simulation with the Fricke/Cole–Cole model. Meat Science, 2007, vol. 77, no. 12, p. 512–519.
  29. ELLAPPAN, P., SUNDARARAJAN, R. A simulation study of the electrical model of a biological cell. Journal of Electrostatics, 2005, vol. 63, p. 297–307.
  30. YANG, Y., WANG, Z.-Y. , DING, Q., HUANG, L., WANG, C., ZHU, D.-Z. Moisture content prediction of porcine meat by bioelectrical impedance spectroscopy. Mathematical and Computer Modelling, 2013, vol. 58, no. 3–4 , p. 819–825.
  31. SCHOENBACH, K. H., KATSUKI, S., STARK, R. H., BUESCHER, E. S., BEEBE, S. J. Bioelectrics - new applications for pulsed power technology. IEEE Transactions on Plasma Science, 2002, vol. 30, no. 1 , p. 293–300.
  32. DEAN, D., RAMANATHAN, T., MACHADO, D., SUNDARARAJAN, R. Electrical impedance spectroscopy study of biological tissues. Journal of Electrostatics, 2008, vol. 66, no. 3–4 , p. 165 to 177.
  33. JILANI, M. T., WEN, W. P., ZAKARIYA, M. A, CHEONG, L.Y. Determination of size-independent effective permittivity of an overlay material using microstrip ring resonator. Microwave and Optical Technology Letters, 2014 (accepted for publication).
  34. GOUKER, M. A., KUSHNER, L. J. A microstrip phase-trim device using a dielectric overlay. IEEE Transactions on Microwave Theory and Techniques, 1994, vol. 42, no. 11, p. 2023–2026.

Keywords: Microwave biosensor, microstrip ring resonator, equivalent circuit modeling, effective permittivity, dielectric constant, meat dielectric characterization, overlay thickness

Sijia Li, Xiangyu Cao, Jun Gao, Yuejun Zheng, Di Zhang, Hongxi Liu [references] [full-text] [Download Citations]
Fractal Metamaterial Absorber with Three-Order Oblique Cross Dipole Slot Structure and its Application for In-band RCS Reduction of Array Antennas

To miniaturize the perfect metamaterial absorber, a fractal three-order oblique cross dipole slot structure is proposed and investigated in this paper. The fractal perfect metamaterial absorber (FPMA) consists of two metallic layers separated by a lossy dielectric substrate. The top layer etched a three-order oblique fractal-shaped cross dipole slot set in a square patch and the bottom one is a solid metal. The parametric study is performed for providing practical design guidelines. A prototype with a thickness of 0.0106λ (λ is the wavelength at 3.18 GHz) of the FPMA was designed, fabricated, measured, and is loaded on a 1×10 guidewave slot array antennas to reduce the in-band radar cross section (RCS) based on their surface current distribution. Experiments are carried out to verify the simulation results, and the experimental results show that the absorption at normal incidence is above 90% from 3.17 to 3.22GHz, the size for the absorber is 0.1λ×0.1λ, the three-order FPMA is miniaturized 60% compared with the zero-order ones, and the array antennas significantly obtain the RCS reduction without the radiation deterioration.

  1. GENOVESI, S., COSTA, F., MONORCHIO, A. Wide band radar cross section reduction of slot antennas arrays. IEEE Trans. Antennas Propag., 2014, vol. 62, no. 1, p. 163–173.
  2. WENBO PAN, CHENG HUANG, PO CHEN, XIAOLIANG MA, CHENGGANG HU, XIANGANG LUO. A low-RCS and highgain partially reflecting surface antenna. IEEE Trans. Antennas Propag., 2014, vol. 62, no. 2, p. 945–949.
  3. ZHOU, H., QU, S.-B., LIN, B.-Q. Filter-antenna consisting of conical FSS radome and monopole antenna. IEEE Trans. Antennas Propag., 2012, vol. 60, no. 6, p. 3040–3045.
  4. GENOVESI, S., COSTA, F., MONORCHIO, A. Low profile array with reduced radar cross section by using frequency selective surfaces. IEEE Trans. on Antennas and Propagation, 2012, vol. 60, no. 5, p. 2327–2335.
  5. LI, Y.-Q., ZHANG, H., FU, Y.-Q., YUAN, N.-C. RCS reduction of ridged waveguide slot antenna array using EBG radar absorbing material. IEEE Antennas Wireless Propag. Lett., 2008, vol. 7, p. 473–476.
  6. TAN, Y., YAN, N., YANG, Y., FU, Y. Improved RCS and efficient waveguide slot antenna. Electron. Lett., 2011, vol. 47, no. 10, p. 582–583.
  7. ZHANG, Y., MITTER, R., WANG, B. Z., HUANG, N. T. AMCs for ultra-thin and broadband RAM design. Electron. Lett., 2009, vol. 45, no. 10, p. 484–485.
  8. HWANG, R. B., TSAI, Y. L. The reflection characteristics of a composite planar AMC surface. Applied Phys. Lett. Advances, 2012, no. 2, p. 012128.
  9. ZHAO, Y., CAO, X. Y., GAO, J., LI, W. Q. Broadband RCS reduction and high gain waveguide slot antenna with orthogonal array of CSRR-AMC. Electron. Lett., 2013, vol. 49, no. 21, p. 1312-1313.
  10. IRIARTE GALARREGUI, J. C., TELLECHEA PEREDA, A., MARTINEZ DE FALCON, J. L., EDERRA, I., GONZALO, R., DE MAAGT, P. Broadband radar cross-section reduction using AMC technology. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 12, p. 6136-6143.
  11. LANDY, N. I., SAJUYIGBE, S., MOCK, J. J., SMITH, D. R., PADILLA, W. J. A perfect metamaterial absorber. Phys. Rev. Lett, 2008, vol. 100, p. 207402.
  12. LONG LI, YANG YANG, CHANGHONG LIANG. A wide-angle polarization-insensitive ultra-thin metamaterial absorber with three resonant modes. J. Appl. Phys., 2011, vol. 110, p. 063702.
  13. LI SI-JIA, CAO XIANG-YU, GAO JUN, ZHENG QIU-RONG, ZHAO YI, YANG QIN. Design of ultrathin broadband perfect metamaterial absorber with low radar cross section. Acta Phys. Sin., 2013, vol. 62, no. 19, p. 194101.
  14. WANGREN XU, SONKUSALE, S. Microwave diode switchable metamaterial reflector/absorber. Applied Phys. Lett., 2013, vol. 103, p. 0301902.
  15. DEXIN YE, ZHENG WANG, ZHIYU WANG, KUIWEN XU, BIN ZHANG, JIANGTAO HUANGFU, CHANGZHI LI, LIXIN RAN. Towards experimental perfectly-matched layers with ultrathin metamaterial surfaces. IEEE Trans. Antennas Propag., 2013, vol. 60, no. 11, p. 5162–5172.
  16. LIU, T., CAO, X. Y., GAO, J., ZHENG, Q. R., LI, W. Q., YANG. H. H. RCS reduction of waveguide slot antenna with metamaterial absorber. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 4, p. 2327–2335.
  17. SIJIA LI, XIANGYU CAO, TAO LIU, HUANHUAN YANG. Double-layer perfect metamaterial absorber and its application for RCS reduction of antenna. Radioengineering, 2014, vol. 23, no. 1, p. 222–228.
  18. SIJIA LI, JUN GAO, XIANGYU CAO, ZHAO ZHANG. Loaded metamaterial perfect absorber using substrate integrated cavity, J. Appl. Phys., 2014, vol.115, p. 213703.
  19. HE-XIU XU, GUANG-MING WANG, MEI-QING QI, JIANGANG LIANG, JIAN-QIANG GONG, ZHI-MING XU. Tripleband polarization-insensitive wide-angle ultra-miniature metamaterial transmission line absorber. Phys. Rev. B, 2012, vol. 86, p. 205104.
  20. SIJIA LI, JUN GAO, XIANGYU CAO, WENQIANG LI, ZHAO ZHANG, DI ZHANG. Wideband, thin and polarization-insensitive perfect absorber based the double octagonal rings metamaterials and lumped resistances. J. Appl. Phys., 2014, vol. 116, p. 043710.

Keywords: Guidewave slot array antennas, fractal perfect metamaterial absorber, surface current distribution, RCS reduction.

Y. S. Meng, Y. Shan [references] [full-text] [Download Citations]
Measurement and Calibration of A High-Sensitivity Microwave Power Sensor with An Attenuator

In this paper, measurement and calibration of a high-sensitivity microwave power sensor through an attenuator is performed using direct comparison transfer technique. To provide reliable results, a mathematical model previously derived using signal flow graphs together with non-touching loop rule analysis for the measurement estimate (i.e. calibration factor) and its uncertainty evaluation is comparatively investigated. The investigation is carried out through the analysis of physical measurement processes, and consistent mathematical model is observed. Later, an example of Type-N (up to 18 GHz) application is used to demonstrate its calibration and measurement capability.

  1. WEIDMAN, M. P. Direct Comparison Transfer of Microwave Power Sensor Calibration. NIST Technical Note 1379, 1996.
  2. GINLEY, R. A direct comparison system for measuring radio frequency power (100 kHz to 18 GHz). Measure, 2006, vol. 1, no. 4, p. 46 - 49.
  3. WALLIS, T. M., CROWLEY, T. P., LEGOLVAN, D. X., GINLEY, R. A. A direct comparison system for power calibration up to 67 GHz. In Digest of the 2012 Conference on Precision Electromagnetic Measurements. Washington DC (USA), 2012, p. 726 - 727.
  4. KANG, T. W., KIM, J. H., KWON, J. Y., et al. Direct comparison technique using a transfer power standard with an adapter and its uncertainty. In Digest of the 2012 Conference on Precision Electromagnetic Measurements. Washington DC (USA), 2012, p. 728 - 729.
  5. SHAN, Y., MENG, Y. S., LIN, Z. Generic model and case studies of microwave power sensor calibration using direct comparison transfer. IEEE Transactions on Instrumentation and Measurement, 2013, vol. 62, no. 6, p. 1834 - 1839.
  6. Agilent Application Note. Differences in Application Between Power Dividers and Power Splitters. [Online] 2007. Avaliable at: http://cp.literature.agilent.com/litweb/pdf/5989-6699EN.pdf
  7. ENGEN, G. F. Amplitude stabilization of a microwave signal source. IRE Transactions on Microwave Theory and Techniques, 1958, vol. 6, no. 2, p. 202 - 206.
  8. POZAR, D. M. Microwave Engineering. Addison-Wesley, 1993.
  9. FANTOM, A. Radio Frequency and Microwave Power Measurement. Peter Peregrinus Ltd., UK, 1990.
  10. SHAN, Y., MENG, Y. S., FILIPSKI, P. S. Evaluation of a calorimetric thermal voltage converter for RF-DC difference up to 1 GHz. IEEE Transactions on Instrumentation and Measurement, 2014, vol. 63, no. 2, p. 467 - 472.
  11. YHLAND, K., STENARSON, J., WINGQVIST, C. Power sensor linearity calibration with an unknown attenuator. In Digest of the 2010 Conference on Precision Electromagnetic Measurements. Daejeon (Korea), 2012, p. 769 - 770.
  12. BIPM, IEC, IFCC, ILAC, ISO, et al. Evaluation of Measurement Data – Guide to the Expression of Uncertainty in Measurement. JCGM 100:2008 (GUM 1995 with minor corrections). Joint Committee for Guides in Metrology, 2008.
  13. RIDLER, N. M., SALTER, M. J. An approach to the treatment of uncertainty in complex S-parameter measurements. Metrologia, 2002, vol. 39, no. 3, p. 295 - 302.
  14. MENG, Y. S., SHAN, Y. Measurement uncertainty of complexvalued microwave quantities. Progress In Electromagnetics Research, 2013, vol. 136, p. 421 - 433.
  15. BIPM, IEC, IFCC, ILAC, ISO, et al. Evaluation of Measurement Data – Supplement 1 to the ‘Guide to the Expression of Uncertainty in Measurement’– Propagation of Distributions Using a Monte Carlo Method. JCGM 101:2008. Joint Committee for Guides in Metrology, 2008.
  16. ZHANG, Q., MENG, Y. S., SHAN, Y., LIN, Z. Direct comparison transfer of microwave power sensor calibration with an adaptor: modeling and evaluation. Progress In Electromagnetics Research Letters, 2013, vol. 38, p. 25 - 34.
  17. APLAC PT001. Calibration Interlaboratory Comparisons. Asia Pacific Laboratory Accreditation Cooperation – Proficiency Testing Committee, 2008.

Keywords: Direct comparison transfer, modeling, high-sensitivity, measurement uncertainty

C. Picher, J. Anguera, A. Andujar, C. Puente, A. Bujalance [references] [full-text] [Download Citations]
Concentrated Ground Plane Booster Antenna Technology for Multiband Operation in Handset Devices

The current demand in the handset antenna field requires multiband antennas due to the existence of multiple communication standards and the emergence of new ones. At the same time, antennas with reduced dimensions are strongly required in order to be easily integrated. In this sense, the paper proposes a compact radiating system that uses two non-resonant elements to properly excite the ground plane to solve the abovementioned shortcomings by minimizing the required Printed Circuit Board (PCB) area while ensuring a multiband performance. These non-resonant elements are called here ground plane boosters since they excite an efficient mode of the ground plane. The proposed radiating system comprises two ground plane boosters of small dimensions of 5 mm x 5 mm x 5 mm. One is in charge of the low frequency region (0.824-0.960 GHz) and the other is in charge of the high frequency region (1.710-2.170 GHz). With the aim of achieving a compact configuration, the two boosters are placed close to each other in a corner of the ground plane of a handset device (concentrated architecture). Several experiments related to the coupling between boosters have been carried out in two different platforms (barphone and smartphone), and the best position and the required matching network are presented. The novel proposal achieves multiband performance at GSM850/900/1800/1900 and UMTS.

  1. WONG, K. L. Planar antennas for wireless communications. Wiley Series in Microwave and Optical Engineering. New Jersey: John Wiley & Sons, 2003.
  2. TUNG, H.C., FANG, C.Y., WONG, K.L. Dual band inverted-L monopole antenna for GSM/DCS mobile phone. In IEEE Antennas and Propagation Society International Symposium, vol. 3, 2002.
  3. WONG, K.L., LEE, G.Y., CHIOU, T.W. A low-profile planar monopole antenna for multiband operation of mobile handsets. IEEE Transactions on Antennas and Propagation, January 2003, vol. 51, no. 1, p. 121–125.
  4. ANGUERA, J., PUENTE, C., MARTINEZ, E., ROZAN, E. The fractal Hilbert monopole: A two-dimensional wire. Microwave and Optical Technology Letters, 2003, vol. 36, no. 2, p. 102–104.
  5. LIN, S.Y. Multiband folded planar monopole antenna for mobile handset. IEEE Transactions on Antennas and Propagation, 2004, vol. 52, no. 7, p. 1790–1794.
  6. LI, Z. RAHMAT-SAMII, Y. Optimization of PIFA-IFA combination in handset antenna designs. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 5, p. 1770–1778.
  7. MARTINEZ, M., LETSCHKE, O., GEISSLER, M., HEBERLING, D., MARTINEZ, A. M, SANCHEZ, D. Integrated planar multiband antennas for personal communication handsets. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 2, p. 384–391.
  8. KIM, B., PARK, S., YOON, Y., OH, J., LEE, K., KOO, G. Hexaband planar inverted-F antenna with novel feed structure for wireless terminals. IEEE Antennas Wireless Propagation. Letters, 2007, vol. 6, p. 66–69.
  9. HSIEH, H., LEE, Y., TIONG, K., SUN, J. Design of a multiband antenna for mobile handset operations. IEEE Antennas Wireless Propagation Letters, 2009, vol. 8, p. 200–203.
  10. LIN, C. WONG, K. L. Printed monopole slot antenna for internal multiband mobile phone antenna. IEEE Transactions on Antennas and Propagation, 2007, vol. 55, no. 12, p. 3690–3697.
  11. RISCO, S., ANGUERA, J., ANDUJAR, A., PEREZ, A., PUENTE C. Coupled monopole antenna design for multiband handset devices. Microwave and Optical Technology Letters, 2010, vol. 52, no. 2, p. 359–364.
  12. SUGIMOTO, S., IWASAKI, H. Wide band planar monopole antenna with asymmetric parasitic elements. In Proceedings of the Fourth European Conference on Antennas and Propagation (EuCAP). Barcelona (Spain), April 2010, p. 1–4
  13. VAINIKAINEN, P., OLLIKAINEN, J., KIVEKAS, O., KELANDER, I. Resonator-based analysis of the combination of mobile handset antenna and chassis. IEEE Transactions on Antennas and Propagation, 2002, vol. 50, no. 10, p. 1433–1444.
  14. SALONEN, P. Effect of groundplane size on radiation efficiency and bandwidth of dual-band U-PIFA. In IEEE Antennas and Propagation Society International Symposium. Columbus (OH, USA), June 2003, vol. 3, p. 70–73.
  15. HOSSA, R., BYNDAS, A., BIALKOWSKI, M. E. Improvement of concentrated terminal antenna performance by incorporating open-end slots in ground plane. IEEE Microwave and Wireless Components Letters, 2004, vol. 14, no. 6, p. 283–285.
  16. ABEDIN, M. F., ALI, M. Modifying the ground plane and its effect on planar inverted-F antennas (PIFAs) for mobile phone handsets. IEEE Antennas and Wireless Propagation Letters, 2003, vol. 2, no. 1, p. 226–229.
  17. ANGUERA, J., SANZ, I., SANZ, A., GALA, D., CONDES, A., PUENTE, C., SOLER, J. Enhancing the performance of the handset antennas by means of groundplane design. In IEEE International Workshop on Antenna Technology Small Antennas and Novel Metamaterials. New York (USA), March 2006, p. 29–32.
  18. CABEDO, M., ANTONINO, E., RODRIGO, V., SUAREZ, C., Analisis Modal de un Plano de Masa Radiante Doblado y con una Ranura para Terminales Moviles. In XXI Simposium Nacional de la Union Cientifica Internacional de Radio, URSI ’06. Oviedo (Spain), September 2006 (in Spanish).
  19. TANG, I.T., LIN, D.B., CHEN, W.L., HORNG, J.H., LI, C.M. Compact five-band meandered PIFA by using meandered slots structure. In IEEE Antennas and Propagation Society International Symposium. June 2007, p. 653–656.
  20. CABEDO, A., ANGUERA, J., PICHER, C., RIBO, M., PUENTE, C. Multi-band handset antenna combining a PIFA, slots, and ground plane modes. IEEE Transactions on Antennas and Propagation, 2009, vol. AP-57, no. 9, p. 2526–2533.
  21. PICHER, C., ANGUERA, J., CABEDO, A., PUENTE, C., KAHNG, S. Multiband handset antenna using slots on the ground plane: Considerations to facilitate the integration of the feeding transmission line. Progress In Electromagnetics Research C, 2009, vol. 7, p. 95–109.
  22. ANGUERA, J., SANZ, I., MUMBRU, J., PUENTE, C. Multi-band handset antenna with a parallel excitation of PIFA and slot radiators. IEEE Transactions on Antennas and Propagation, 2010, vol. AP-58, no. 2, p. 348–356.
  23. RAZALI, A. R., BIALKOWSKI, M. E. Coplanar inverted-F with open-end ground slots for multiband operation. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 1029–1032.
  24. ANGUERA, J., PUENTE, C. Shaped ground plane for radio apparatus. Patent Appl. WO2006/070017, Dec. 29, 2005.
  25. ANGUERA, J., ANDUJAR, A., PUENTE, C., MUMBRU, J. Antennaless wireless device. Patent Appl. WO2010/015365, July 2009.
  26. ANGUERA, J., ANDUJAR, A., PUENTE, C., MUMBRU, J. Antennaless wireless device capable of operation in multiple frequency regions. Patent Appl. WO2010/015364, July 2009.
  27. ANDUJAR, A., ANGUERA, J., PUENTE, C. Ground plane boosters as a compact antenna technology for wireless handheld devices. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 5, p. 1668–1677.
  28. ANGUERA, J., PICHER, C., ANDUJAR, A., PUENTE C. Concentrated antennaless wireless device providing operability in multiple frequency regions. Patent Appl. US61/671906, July 2012.
  29. ANGUERA, J., ANDUJAR, A., GARCIA, C. Multiband and small coplanar antenna system for wireless handheld devices. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 7, p. 3782–3789.
  30. ANGUERA, J., PICHER, C., ANDUJAR, A., KAHNG, S., PUENTE, C. Compact multiband antenna system for smartphone platforms. In Proc. of the European Conference on Antennas and Propagation EuCAP. Gothenburg (Sweden), April 2013.
  31. SCHROEDER, W. L., FAMDIE, C. T., SOLBACH, K. Utilization and tuning of the chassis modes of a handheld terminal for the design of multiband radiation characteristics. IEEE Wideband Multi-Band Antennas Arrays, Sept. 2005, p. 117–121.
  32. VILLANEN, J., OLLIKAINEN, J., KIVEKAS, O., VAINIKAINEN, P. Compact antenna structures for mobile handsets. In IEEE VTC2003 Fall Conference. Orlando (Florida, USA), 2003.
  33. VILLANEN, J. HOLOPAINEN, J., KIVEKAS, O., VAINIKAINEN, P. Mobile broadband antennas. In URSIGA 2005 Conference. New Delhi (India), October 2005.
  34. VILLANEN, J., OLLIKAINEN, J., KIVEKAS, O., VAINIKAINEN, P. Coupling element based mobile terminal antenna structures. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 7, p. 2142–2153.
  35. HOLOPAINEN, J., VILLANEN, J., ICHELN, C., VAINIKAINEN, P. Mobile terminal antennas implemented by using direct coupling. In Proc. of the European Conference on Antennas and Propagation EuCAP. Nice (France), November 2006, p. 1–6.
  36. RAHOLA, J., OLLIKAINEN, J. Optimal antenna placement for mobile terminals using characteristic mode analysis. In Proc. of the European Conference on Antennas and Propagation EuCAP. Nice (France), November 2006.
  37. VILLANEN, J., ICHELN, C., VAINIKAINEN, P. Coupling element-based quadband antenna structure for mobile terminals. Microwave and Optical Technology Letters, 2007, vol. 49, no. 6.
  38. OZDEN, S., NIELSEN, B. K., JORGENSEN, C. H., VILLANEN, J., ICHELN, C., VAINIKAINEN, P. Quad-band coupling element antenna structure. U.S. Patent 7 274 340, Sep. 25, 2007.
  39. HOLOPAINEN, J., VALKONEN, R., KIVEKAS, O., ILVONEN, J., VAINIKAINEN, P. Broadband equivalent circuit model for capacitive coupling element-based mobile terminal antenna. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 716 to 719.
  40. CABEDO-FABRES, M., ANTONINO-DAVIU, E., VALERONOGUEIRA, A., BATALLER, M. F. The theory of characteristic modes revisited: A contribution to the design of antennas for modern applications. IEEE Antennas and Propagation Magazine, Oct. 2007, vol. 49, no. 5, p. 52–68.
  41. HAI ZHAO, GUI LIN, BECKMAN, C. Design of a coupling element based penta-band mobile phone antenna. In Antennas and Propagation Conference LAPC 2009. Loughborough (UK), November 2009, p. 209-212.
  42. ANGUERA, J., PUENTE, C., BORJA, C., FONT, G., SOLER, J. A systematic method to design single-patch broadband microstrip patch antennas. Microwave and Optical Technology Letters, Nov. 2001, vol. 31, no. 3, p. 185–188.
  43. ANDUJAR, A., ANGUERA, J., PUENTE, C. A systematic method to design broadband matching networks. In Proceedings of the 4th European Conference on Antennas and Propagation EuCAP 2010. Barcelona (Spain), 2010.
  44. RAHOLA, J., OLLIKAINEN, J. Analysis of isolation of two-port antenna systems using simultaneous matching. In Proceedings of the European Conference on Antennas and Propagation EuCAP 2007. Edinburgh (UK), November 11-16, 2007.
  45. POZAR, D. M. Microwave Engineering. 2nd edition. John Wiley and Sons, 1998.
  46. ANGUERA, J., ANDUJAR, A. Ground plane contribution in wireless handheld devices using radar cross section analysis. Progress In Electromagnetics Research M, 2012, vol. 26, p. 101–114.
  47. ROWEL, C., LAM, E. Y. Mobile-phone antenna design. IEEE Antennas and Propagation Magazine, Aug. 2012, vol. 54, no. 4, p. 14–34.

Keywords: Handset antennas, multi-band, non-resonant antennas, ground plane modes.

U. Ullah, M. F. Ain, M. Othman, I. Zubir, N. M. Mahyuddin, Z. A. Ahmad, M. Z. Abdullah [references] [full-text] [Download Citations]
A novel Multi-permittivity Cylindrical Dielectric Resonator Antenna for Wideband Applications

In this paper, a novel multi-permittivity cylindrical dielectric resonator antenna for wideband application is presented. The multi-permittivity cylinder is formed by combining two different permittivity material sectors in such a way that each sector (with constant permittivity) is 90 degree apart. A direct microstrip line coupling terminated with T-stub at the open end is used to excite the multi-permittivity cylindrical dielectric resonator. The angular position of the multi sector dielectric resonator with respect to the longitudinal axis of the microstrip line and length of the additional strip at the open end of the feeding circuit is key parameters for wideband operation of the antenna. By optimizing all parameters of the proposed antenna, wideband impedance bandwidth of 56% (12.1 GHz - 21.65 GHz) is achieved. The average gain of the antenna throughout the bandwidth is 5.9 dB with good radiation properties in both E-plane and H-plane. A well matched simulation and experimental results show that the antenna is suitable for wideband applications.

  1. TZE-HSUAN, C., YU-CHING, H., WEI-FANG, S., JEAN-FU, K. Wideband dielectric resonator antenna with a tunnel. IEEE Antennas and Wireless Propagation Letters, 2008, vol. 7, p. 275–278.
  2. CHU, L. C. Y., GUHA, D., ANTAR, Y. M. M. Conformal stripfed shaped cylindrical dielectric resonator: Improved design of a wideband wireless antenna. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 482-485.
  3. YONGMEI, P., KWOK-WA, L. Wideband circularly polarized trapezoidal dielectric resonator antenna. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 588–591.
  4. CHAUDHARY, R. K., KUMAR, R., SRIVASTAVA, K. V. Wideband ring dielectric resonator antenna with annular-shaped microstrip feed. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 595–598.
  5. KHALILY, M., KAMARUDIN, M. R., JAMALUDDIN, M. H. A novel square dielectric resonator antenna with two unequal inclined slits for wideband circular polarization. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 1256–1259.
  6. KHALILY, M. R., MOKAYEF, M., DANESH, SH., GHAHFEROKHI, S. E. A. A new wideband circularly polarized dielectric resonator antenna. Radioengineering, 2014, vol. 23, p. 175–180.
  7. KHALILY, M., RAHIM, M. K. A., KISHK, A. A. Bandwidth enhancement and radiation characteristics improvement of rectangular dielectric resonator antenna. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 393–395.
  8. MESSAOUDENE, I., DENIDNI, T. A., BENGHALIA, A. Ultrawideband DRA integrated with narrow-band slot antenna. Electronics Letters, 2014, vol. 50, p. 139–141.
  9. FENG, W. Y., DENIDNI, T. A., Z. SHENG, Q., GAO, W. Bandnotched UWB rectangular dielectric resonator antenna. Electronics Letters, 2014, vol. 50, p. 483–484.
  10. DHAR, S., GHATAK, R., GUPTA, B., PODDAR, D. R. A wideband Minkowski fractal dielectric resonator antenna. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, p. 2895 to 2903.
  11. MENG, Z., JIN, P. Wideband hybrid circularly polarised rectangular dielectric resonator antenna excited by modified crossslot. Electronics Letters, 2014, vol. 50, p. 1123–1125.
  12. PRAVEEN KUMAR, A. V., HAMSAKUTTY, V., YOHANNAN, J., MATHEW, K. T. A wideband conical beam cylindrical dielectric resonator antenna. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 15–17.
  13. BIN, L., KWOK-WA, L. On the differentially fed rectangular dielectric resonator antenna. IEEE Transactions on Antennas and Propagation, 2008, vol. 56, p. 353–359.
  14. THAMAE, L. Z., ZHIPENG, W. Broadband bowtie dielectric resonator antenna. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, p. 3707–3710.
  15. PAN, Y. M., LEUNG, K. W. Wideband omnidirectional circularly polarized dielectric resonator antenna with parasitic strips. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, p. 2992–2997.
  16. PRAVEEN KUMAR, A. V., HAMSAKUTTY, V., YOHANNAN, J., MATHEW, K. T. Microstrip line-fed half-cylindrical dielectric resonator antenna for 2.4-GHz WLAN application. Microwave and Optical Technology Letters, 2006, vol. 48, p. 724–726.
  17. PETOSA, A. Dielectric Resonator Antenna Handbook. 1st ed. London: Artech House, 2007.

Keywords: Dielectric resonator antenna (DRA), multi-permittivity dielectric resonator, wideband antenna

T. Mandal, S. Das [references] [full-text] [Download Citations]
A Coplanar Waveguide Fed Hexagonal Shape Ultra Wide Band Antenna with WiMAX and WLAN Band Rejection

In this paper, a coplanar waveguide (CPW) fed hexagonal shape planar antenna has been considered for ultra-wide band (UWB). This antenna is then modified to obtain dual band rejection. The Wireless Local Area Network (WLAN) and Wireless Microwave Access (WiMAX) band rejections are realized by symmetrically incorporating a pair of L-shape slots within the ground plane as well as a couple of I-shape stubs inserted on the bottom side of radiating patch. The proposed antenna has stop bands of 5.05-5.92 GHz and 3.19-3.7 GHz while maintaining the wideband performance from 2.88 - 13.71 GHz with reflection coefficient of ≤ -10 dB. The antenna exhibits satisfactory omni-directional radiation characteristics throughout its operating band. The peak gain varies from 2 dB to 6 dB in the entire UWB frequency regions except at the notch bands. Surface current distributions are used to analyze the effects of the L-slot and I-shape stub. The measured group delay has small variation within the operating band except notch bands and hence the proposed antenna may be suitable for UWB applications.

  1. First Report and Order, “Revision of part 15 of the commission’s rule regarding ultra-wideband transmission system FCC 02- 48”, Federal Communications Commission, 2002.
  2. REZA GHADERI, M., MOHAJERI, F. A compact hexagonal wide slot antennas with microstrip fed monopole for UWB applications. IEEE Antenna and Wireless Propagation Letters, 2011, vol. 10, p. 682–685.
  3. ZHANG, K., WANG, T., CHENG, L. L. Analysis of band notched UWB printed monopole antennas using a novel segmented structure. Progress In Electromagnetics Research C, 2013, vol. 34, p. 13–27.
  4. MANDAL, T., DAS, S. Ultra wide band printed hexagonal monopole antennas with WLAN band rejection. Microwave and Optical Technology Letters, 2012, vol. 54, p. 1520–1525.
  5. ABDO ABDEL MONEM SHAALAN, RAMADAN, M. I. Design of a compact hexagonal monopole antenna for ultra-wideband applications. Journal of Infrared, Millimeter, and Terahertz Waves, 2010, vol. 31, p. 958–96.
  6. YI-CHENG LIN, KUAN-JUNG HUNG. Compact ultra-wide band rectangular aperture antenna and band-notched designs. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 11, p. 3075-3081.
  7. LIANG, J., GUO, L., CHIAU, C. C., CHEN, X., PARINI, C.G. Study of CPW-fed circular disc monopole antenna. IEE Proceedings on Microwaves, Antennas and Propagation, 2005, vol. 152, no. 6, p. 520–526. doi:10.1049/ip-map:20045179.
  8. HABIB, M. A., BOSTANI DJAIZ, A., NEDIL, M., YAGOUB, M. C. E., DENIDNI, T. A. Ultra wideband CPW-fed aperture antenna with WLAN band rejection. Progress In Electromagnetics Research, 2010, vol. 106, p. 17–31.
  9. PENGCHENG LI, JIANXIN LIANG, XIAODONG CHEN. Study of printed elliptical/circular slot antennas for ultra-wide band applications. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 6, p. 1670–1675.
  10. RAY, K. P., TIWARI, S. Ultra wide band printed hexagonal monopole antennas. IET Microwaves, Antennas and Propagation, 2010, vol. 4, no. 4, p. 437–445. DOI: 10.1049/iet-map.2008.0201.
  11. GHATAK, R., BISWAS, B., KARMAKAR, A., PODDAR, D. R. A circular fractal UWB antenna based on Descartes circle theorem with band rejection capability. Progress In Electromagnetics Research C, 2013, vol. 37, p. 235–248.
  12. SUN, A., YIN, Y. Z., JING, S. H., YANG, Y., LIU, B. W., LI, Z. Broadband CPW-fed antenna with band-rejected characteristics for WLAN/WiMAX operation. Progress In Electromagnetics Research C, 2011, vol. 22, p. 47–54.
  13. ZHOU, D., GAO, S., ZHU, F., ABD – ALHAMEED, R. A., XU, J. D. A simple and compact planar ultra wide-band antenna with single or dual band notched characteristics. Progress In Electromagnetic Research C, 2012, vol. 123, p. 47–65.
  14. FEI YU, CHUNHUA WANG. A CPW-fed novel planar ultrawideband antenna with a band-notch characteristic. Radioengineering, 2009, vol. 18, no. 4, p. 551–555.
  15. MANDAL, T., DAS, S. Design and analysis of a coplanar waveguide fed ultra wideband hexagonal open slot antenna with WLAN and WiMAX band rejection. Microwave and Optical Technology Letter, 2014, vol. 56, no. 2, p. 434–443.
  16. CHATTOPADHYAY, K., DAS, S., DAS, S., BHADRA CHAUDHURI, S. R. Ultra-wideband performance of printed hexagonal wide slot antenna with dual band-notched characteristics. Progress In Electromagnetics Research C, 2013, vol. 44, p. 83–93.
  17. MA, X. L., SHAO, W., HE, G. Q. A novel dual narrow band-notch band CPW fed UWB slot antenna with parasitic strips. Applied Computational Electromagnetic Society, 2012, vol. 27, no. 7, p. 581–588.
  18. KIM, K. H., PARK, S. O. Analysis of the small band rejected antenna with the parasitic strip for UWB. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 6, p. 1688–1692.
  19. KIM, D. O., JO, N. I., JANG, H. A., KIM, C. Y. Design of the ultra wide band antenna with a quadruple-band rejection characteristics using a combination of the complementary split ring resonators. Progress In Electromagnetic Research, 2011, vol. 112, p. 93–107.
  20. ISLAM, M.T., AZIM, R., MOBASHSHER, A. T. Triple band notched planar UWB antenna using parasitic strips. Progress In Electromagnetic Research, 2012, vol. 129, p. 161–179.
  21. YINGSONG LI, WENXING LI, TAO JIANG. Implementation and investigation of a compact circular wide slot UWB antenna with dual notched band characteristics using stepped impedance resonators. Radioengineering, 2012 vol. 21, no.1, p. 517–527.
  22. Zeland IE3DTM software.

Keywords: Hexagonal planar antenna, CPW fed, UWB, WLAN band, WiMAX band.

X.L.Yang, F.L.Kong, X.L.Liu, C.Y.Song [references] [full-text] [Download Citations]
A CPW-fed Triple-band Antenna for WLAN and WiMAX Applications

In this letter, a compact printed antenna fed by coplanar waveguide for triple-band is presented. The proposed antenna consists of two rectangular metallic loops in front and a slit square ring on the backside. Tri-band has been achieved, which can be easily tuned by adjusting the sizes of the rectangles. An analysis of equal lumped circuit mechanism as well the triple band operation is provided. Key parameters to tune the resonant frequencies have been identified. The overall dimension of the proposed antenna is 30×26 mm^2. Simulated results show that the presented antenna can cover three separated impedance bandwidths of ~13% at 300 MHz (2.2–2.5 GHz), ~14% at 500 MHz (3.3–3.8 GHz), and ~15% at 800 MHz (5.1–5.9 GHz), which are well applied for both 2.4/5.2/5.8-GHz WLAN bands and 3.5/5.5-GHz WiMAX bands.

  1. CHIANG, M.J., WANG, S., HSU, C.C. Compact multi-frequency slot antenna design incorporating embedded arc-strip. IEEE Antennas Wireless Propag. Lett., 2012, vol. 11, p. 834–837.
  2. CHANG, T.H., KIANG, J.F. Compact multi-band h-shaped slot antenna. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 8, p. 4345–4399.
  3. LIU, H.W., KU, C.H., YANG, C.F. Novel CPW-fed planar monopole antenna for WiMAX/WLAN applications. IEEE Antennas Wireless Propag. Lett., 2010, vol. 9, p. 240–243.
  4. MOK, W.C., WONG, S.H., LUK, K.M., LEE, K.F. Single-layer single-patch dual-band and triple-band patch antennas. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 8, p. 4341–4344.
  5. BAE, H.R., SO, S.O., CHO, C.S., LEE, J.W., KIM, J. A crooked U-slot dual-band antenna with radial stub feeding. IEEE Antennas Wireless Propag. Lett., 2009, vol. 8, p. 1345–1348.
  6. LIU, W.C., WU, C.M., TSENE, Y.J. Parasitically loaded CPW-fed monopole antenna for broadband operation. IEEE Trans. Antennas Propag., 2011, vol. 59, no. 6, p. 2415–2419.
  7. ANTONIADES, M. A., ELEFTHERIADES, G. V. A compact multiband monopole antenna with a defected ground plane. IEEE Antennas Wireless Propag. Lett., 2008, vol. 7, p. 652–655.
  8. ZHAI, H.Q., MA, Z.H., HAN, Y., LIANG, C.H. A compact printed antenna for triple-band WLAN/WiMAX applications. IEEE Antennas Wireless Propag. Lett., 2013, vol. 12, p. 65–68.
  9. WONG, K.L., LEE, G.Y., CHIOU, T.W. A low-profile planar monopole antenna for multiband operation of mobile handsets. IEEE Trans. Antennas Propag., 2003, vol. 51, no. 1, p. 121–125.
  10. BAEK, J.G., HWANG, K.C. Triple-band unidirectional circularly polarized hexagonal slot antenna with multiple L-shaped slits. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 9, p. 4831–4835.
  11. DENG, C.P., LIU, X.Y., ZHANG, Z. K., TENTZERIS, M. M. A miniascape-like triple-band monopole antenna for WLAN applications. IEEE Antennas Wireless Propag. Lett., 2012, vol. 11, p. 1330–1333. DOI: 10.1109/LAWP.2012.2227292.
  12. FUGUO ZHU, GAO, S., HO, A.T.S., ABD-ALHAMEED, R.A., SEE, C.H., BROWN, T.W.C., JIANZHOU LI, GAO WEI, JIADONG XU. Multiple band-notched UWB antenna with band-rejected elements integrated in the feed line. IEEE Trans. Antennas Propag., 2013, vol. 61, no. 8, p. 3952–3960. DOI: 10.1109/TAP.2013.2260119.
  13. SHARMA, V., SAXENA, V. K., SHARMA, K. B., BHATNAGAR, D. Multi-band elliptical patch antennas with narrow sector slot for WiMAX applications. International Journal of Microwave and Optical Technology, 2012, vol. 7, no. 2. p. 89–96.
  14. LOSITO, O., BOZZETTI, M., DIMICCOLI, V., BARLETTA, D. Multiple sector ring monopole antenna. In Proceedings of the 6th European Conference on Antenna and Propagation. Prague (Czech Rep.), 2012, p. 804–807.

Keywords: Triple-band, coplanar waveguide, equivalent circuit.

Sijia Li, Xiangyu Cao, Jun Gao, Pengcheng Gao [references] [full-text] [Download Citations]
High-Isolation Dual-Polarized Microstrip Antenna via Substrate Integrated Waveguide Technology

A dual-polarized microstrip antenna with high-isolation is proposed by the utilization of the substrate-integrated waveguide (SIW) technology. According to the SIW technology, the metalized holes (MHs) are inserted into the substrate for the proposed antenna and the electric fields of the feeding parts are enclosed, so the isolation of the antenna is enhanced. The bandwidth is improved due to the MHs in the four sides of the antenna. A prototype of the proposed antenna has been fabricated and measured. Experimental results indicate that the antenna obtains the isolation more than 40 dB and achieves the impedance bandwidth of 21.9% and 23.8%(11.8-14.6 GHz and 11.65-14.8 GHz for two ports) of the reflection coefficients less than -20 dB. The cross polarization with the main lobe remains less than -30 dB and the half-power beam width is about 70° for the proposed antenna. Meanwhile, the front-to-back ratio remains to be better than 20 dB. A good agreement between the measured and simulated results validates the proposed design.

  1. POZAR, D. M. A reciprocity method of analysis for printed slot and slot-coupled microstrip antennas. IEEE Transactions on Antennas Propagation, 1986, vol. 34, no. 12, p. 1439–1446.
  2. CHIOU, T.-W., WONG, K.-L. Broad-band dual-polarized single microstrip patch antenna with high isolation and low cross polarization. IEEE Transactions on Antennas Propagation, 2002, vol. 50, no. 3, p. 399–401.
  3. SIM, C. Y. D., CHANG, C. C., ROW, J. S. Dual-feed dual-polarized patch antenna with low cross polarization and high isolation. IEEE Transactions on Antennas Propagation, 2009, vol. 57, no. 10, p. 3405–3409.
  4. GAO, S.-C., LI, L.-W., LEONG, M.-S., YEO, T.-S. Dual-polarized slot coupled planar antenna with wide bandwidth. IEEE Transactions on Antennas Propagation, 2003, vol. 51, no. 3, p. 441–448.
  5. YONG-XIN GUO, KWAI-MAN LUK. Dual-polarized dielectric resonator antennas. IEEE Transactions on Antennas Propagation, 2003, vol. 51, no. 5, p. 1120–1123.
  6. CHANGLIANG DENG, PINGHUI LI, WENQUAN CAO. A high-isolation dual-polarization patch antenna with omnidirectional radiation patterns. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 1273–1276.
  7. VALLOZZI, L., VAN TORRE, P., HERTLEER, C., ROGIER, H., MOENECLAEY, M., VERHAEVERT, J. Wireless communication for firefighters using dual-polarized textile antennas integrated in their garment. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 4, p. 1357–1368.
  8. GAO, S., SAMBELL, A. Dual-polarized broad-band microstrip antennas fed by proximity coupling. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 1, p. 526–530.
  9. QUAN XUE, SHAO WEI LIAO, JIAN HUA XU. A differentiallydriven dual-polarized magneto-electric dipole antenna. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 1, p. 425–430.
  10. XU LIN QUAN, RONG LIN LI. A broadband dual-polarized omnidirectional antenna for base stations. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 2, p. 942–947.
  11. SERRA, A. A., NEPA, P., MANARA, G. A wide-band dualpolarized stacked patch antenna. IEEE Antennas and Wireless Propagation Letters, 2007, no. 6, p. 141–143.
  12. QI WU, SCARBOROUGH, C. P., MARTIN, B. C., SHAW, R. K. et al. A Ku-band dual polarization hybrid-mode horn antenna enabled by printed-circuit-board metasurfaces. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 1089–1098.
  13. MICHAIL, G. CH., UZUNOGLU, N. K. Dual-frequency and dualpolarization multilayer microstrip antenna element. Microwave and Optical Technology Letters. 2004, vol. 42, no. 4, p. 311–315.
  14. UZ ZAMAN, A., MANHOLM, L., DERNERYD, A. Dual polarized microstrip patch antenna with high port isolation. Electronics Letters, 2007, vol. 42, no. 10, p. 612–613.
  15. BARBA, M. A high-isolation, wideband and dual-linear polarization patch antenna. IEEE Transactions on Antennas Propagation, 2008, vol. 56, no. 5, p. 1472–1476.
  16. KABOLI, M., MIRTAHERI, S. A., ABRISHAMIAN, M. S. High isolation X-polar antenna. IEEE Antennas Wireless Propagation Letters, 2010, vol. 9, p. 401–404.
  17. BIAO LI, YING-ZENG YIN, WEI HU, YANG DING, YANG ZHAO. Wideband dual-polarized patch antenna with low cross polarization and high isolation. IEEE Antennas Wireless Propagation Letters, 2012, vol. 11, p. 427–430.
  18. JIE LU, ZHENQI KUAI, XIAOWEI ZHU, NIANZU ZHANG. A high-isolation dual-polarization microstrip patch antenna with quasi-cross-shaped coupling slot. IEEE Transactions on Antennas Propagation, 2011, vol. 59, no. 7, p. 2713–2717.

Keywords: Substrate integrated waveguide, isolation, dual-polarized microstrip antenna, aperture coupled antenna

I. Prudyus, V. Oborzhytskyy [references] [full-text] [Download Citations]
Design of Dual-Band Two-Branch-Line Couplers with Arbitrary Coupling Coefficients in Bands

A new approach to design dual-band two-branch couplers with arbitrary coupling coefficients at two operating frequency bands is proposed in this article. The method is based on the usage of equivalent subcircuits input reactances of the even-mode and odd-mode excitations. The exact design formulas for three options of the dual-band coupler with different location and number of stubs are received. These formulas permit to obtain the different variants for each structure in order to select the physically realizable solution and can be used in broad range of frequency ratio and power division ratio. For verification, three different dual-band couplers, which are operating at 2.4/3.9 GHz with different coupling coefficients (one with 3/6 dB, and 10/3 dB two others) are designed, simulated, fabricated and tested. The measured results are in good agreement with the simulated ones.

  1. LIN, X. Q., LUI, R. P., YANG, X. M., CHEN, J. X., YIN, X. X., CHENG, Q., CUI, T. J. Arbitrary dual-band components using simplified structures of conventional CRLH TLs. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 7, p. 2902–2909.
  2. CHI, I.-H., DeVINCENTIS, M., CALOZ, C., ITOH, T. Arbitrary dual-band components using composite right/left-handed transmission lines. IEEE Transactions on Microwave Theory and Techniques, 2004, vol. 52, no. 4, p. 1142–1149.
  3. LIN, P.-L., ITOH, T. Miniaturized dual-band directional couplers using composite right/left-handed transmission structures and their applications in beam pattern diversity systems. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 5, p. 1207–1215.
  4. WONG, F.-L., CHENG, K.-K. M. A novel planar branch-line coupler design for dual-band applications. In IEEE MTT-S Int. Microwave Symp. Dig., 2004, vol. 2, p. 903–906.
  5. JIZAT, N. M., RAHIM, S. K. A., RAHMAN, T. A., ABDULRAHMAN, A. Y., SABRAN, M. I., HALL, P. S. Miniaturized size of dual-band-meandered branch-line coupler for WLAN application. Microwave and Optical Technology Letters, 2011, vol. 53, no. 11, p. 2543–2547.
  6. KIM, H., LEE, B., PARK, M.-J. Dual-band branch-line coupler with port extensions. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 3, p. 651–655.
  7. CHENG, K.-K., M., WONG, F.-L. A novel approach to the design and implementation of dual-band compact planar 90o branch-line coupler. IEEE Transactions on Microwave Theory and Techniques, 2004, vol. 52, no. 11, p. 2458–2463.
  8. HSU, C.-L., M., KUO, J.-T., CHANG, C.-W. Miniaturized dualband hybrid couplers with arbitrary power division ratios. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 1, p. 149–156.
  9. TANG, C.-W., CHEN, M.-G. Design of multipassband microstrip branch-line couplers with open stubs. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 1, p. 196–203.
  10. KIM, K., LIM, J., KIM, K., AHN, D. A compact dual band branch line coupler with arbitrary power division ratio. Microwave and Optical Technology Letters, 2010, vol. 52, no. 7, p. 1476–1480.
  11. ZHENGL, N., ZHOU, L., YIN, W.-Y. A novel dual-band П- shaped branch-line coupler with stepped-impedance stubs. Progress In Electromagnetics Research Letters, 2011, vol. 25, p. 11–20.
  12. RAWAT, K., RAWAT, M., HASHMI, M. S., GHANNOUCHI, F. M. Dual-band branch-line hybrid with distinct power division ratio over the two bands. International Journal of RF and Microwave Computer-Aided Engineering, 2013, vol. 23, no. 1, p. 90–98.
  13. ZHANG, H., CHEN, K. J. A stub tapped branch-line coupler for dual-band operations. IEEE Microwave and Wireless Components Letters, 2007, vol. 17, no. 2, p. 106–108.
  14. PARK, M.-J. Dual-band, unequal length branch-line coupler with center-tapped stubs. IEEE Microwave and Wireless Components Letters, 2009, vol. 19, no. 10, p. 617–619.
  15. CHIN, K.-S., LIN, K.-M., WEI, Y.-H., TSENG, T.-H., YANG, Y.-J. Compact dual-band branch-line and rat-race couplers with stepped-impedance-stub lines. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 5, p. 1213–1221.
  16. LU, K., WANG, G.-M., TIAN, B. Design of dual-band branch-line coupler based on shunt open- circuit DCRLH cell. Radioengineering, 2013, vol. 22, no. 2, p. 618–623.
  17. KIM, T. G., LEE, B., PARK, M.-J. Dual-band branch-line coupler with two center-tapped stubs. Microwave and Optical Technology Letters, 2008, vol. 50, no. 12, p. 3136–3139.
  18. JIZAT, N. M., RAHIM, S. K. A., RAHMAN, T. A., KAMARUDIN, M. R. Miniaturize size of dual band branch-line coupler by implementing reduced series arm of coupler with stub loaded. Microwave and Optical Technology Letters, 2011, vol. 53, no. 4, p. 819–822.
  19. HSU, C.-L. Dual-band branch line coupler with large power division ratios. In Proceedings of Asia Pacific Microwave Conference. Singapore, 7-10 Dec. 2009, p. 2088–2091.
  20. YU, C.-H., PANG, Y.-H. Dual-band unequal-power quadrature branch-line coupler with coupled lines. IEEE Microwave and Wireless Components Letters, 2013, vol. 23, no. 1, p. 10–12.
  21. REED, J., WHEELER, G. J. A method of analysis of symmetrical four-port networks. IEEE Transactions on Microwave Theory and Techniques, 1956, vol. 4, no. 10, p. 246–252.
  22. PRUDYUS, I. N., OBORZHYTSKYY, V. I. A new approach to analytical calculation of microstrip directional couplers with full structure symmetry. Radioelectronics and Communications Systems, 2011, vol. 54, no. 9, p. 472–480.

Keywords: Dual band, branch-line coupler, even-odd-mode excitations, arbitrary coupling coefficient

Chi-Un Lei [references] [full-text] [Download Citations]
SIM-DSP: A DSP-Enhanced CAD Platform for Signal Integrity Macromodeling and Simulation

Macromodeling-Simulation process for signal integrity verifications has become necessary for the high speed circuit system design. This paper aims to introduce a “VLSI Signal Integrity Macromodeling and Simulation via Digital Signal Processing Techniques” framework (known as SIM-DSP framework), which applies digital signal processing techniques to facilitate the SI verification process in the pre-layout design phase. Core identification modules and peripheral (pre-/post-)processing modules have been developed and assembled to form a verification flow. In particular, a single-step discrete cosine transform truncation (DCTT) module has been developed for modeling-simulation process. In DCTT, the response modeling problem is classified as a signal compression problem, wherein the system response can be represented by a truncated set of non-pole based DCT bases, and error can be analyzed through Parseval’s theorem. Practical examples are given to show the applicability of our proposed framework.

  1. DAVIS, J. A., VENKATESAN, R., KALOYEROS, A., et al. Interconnect limits on gigascale integration (GSI) in the 21st century. Proceedings of the IEEE, 2001, vol. 89, no. 3, p. 305 - 324.
  2. GOUDOS, S. Calculation and modeling of EMI from integrated circuits inside high-speed network devices. Radioengineering, 2006, vol. 15, no. 4, p. 2 - 8.
  3. LI, E.-P., WEI, X.-C., CANGELLARIS, A. C., LIU, E.-X., ZHANG, Y.-J., D’AMORE, M., KIM, J., SUDO, T. Progress review of electromagnetic compatibility analysis technologies for packages, printed circuit boards, and novel interconnects. IEEE Transactions on Electromagnetic Compatibility, 2010, vol. 52, no. 2, p. 248 - 265.
  4. ARITRA, A., BANERJEE, S., BANERJEE, J. P. Large-signal simulation of 94 GHz pulsed silicon DDR IMPATTs including the temperature transient effect. Radioengineering, 2012, vol. 21, no. 4, p. 1218 - 1225.
  5. HANY, F., CHEN, W., PISSOORT, D., BADESHA, A. Virtual-EMI lab: Removing mysteries from black-magic to a successful front-end design. In Proceedings of IEEE Workshop on Signal Propagation on Interconnects. Hildesheim (Germany), 2010, p. 105 - 108.
  6. SANATHANAN, C., KOERNER, J. Transfer function synthesis as a ratio of two complex polynomials. IEEE Transactions on Automatic Control, 1963, vol. 8, no. 1, p. 56 - 58.
  7. STEIGLITZ, K., MCBRIDE, L. E.A technique for the identification of linear systems. IEEE Transactions on Automatic Control, 1965, vol. 10, no. 4, p. 461 - 464.
  8. GUSTAVSEN, B., SEMLYEN, A. Rational approximation of frequency domain responses by vector fitting. IEEE Transactions on Power Delivery, 1999, vol. 14, no. 3, p. 1052 - 1061.
  9. CERNY, D., DOBES, J. Common lisp as simulation program (CLASP) of electronic circuits. Radioengineering, 2011, vol. 20, no. 4, p. 880 - 889.
  10. Official website of MATLAB RF toolbox. [Online]. Available at: http://www.mathworks.com/products/rftoolbox/
  11. Official website of E-System Design. [Online]. Available at: http://www.e-systemdesign.com/
  12. ELFADEL, I. M., ANAND, M. B., DEUTSCH, A., et al. AQUAIA: A CAD tool for on-chip interconnect modeling, analysis, and optimization. In Proceedings of IEEE Electrical Performance of Electronic Packaging Conference. Monterey (CA, USA), 2002, p. 337 - 340.
  13. ELFADEL, I. M., DEUTSCH, A., KOPCSAY, G. V., RUBIN, B. J., SMITH, H. H. A CAD methodology and tool for the characterization of wide on chip buses. IEEE Transactions on Advanced Packaging, 2005, vol. 28, no. 1, p. 63 - 70.
  14. MIN, S. H., SWAMINATHAN, M. Construction of broadband passive macromodels from frequency data for simulation of distributed interconnect networks. IEEE Transactions on Electromagnetic Compatibility, 2004, vol. 46, no. 4, p. 544 - 558.
  15. MIN, S. H. Automated Construction of Macromodels from Frequency Data for Simulation of Distributed Interconnect Networks, Ph.D. dissertation. Georgia Institute of Technology (USA), 2004.
  16. NAREDO, L., RAMIREZ, A., AMETANI, A., et al. Z-transformbased methods for electromagnetic transient simulations. IEEE Transactions on Power Delivery, 2007, vol. 22, no. 3, p. 1799 - 1805.
  17. BRENNER, P. A general modeling approach for linear circuit blocks and passive multiport components. In Proceedings of IEEE European Packaging Workshop, 2007.
  18. DENK, G., FELDMANN, U. Circuit simulation for nanoelectronics. In From Nano to Space. Springer, 2008, p. 11 - 26.
  19. LEI, C. U. VLSI Macromodeling and Signal Integrity Analysis via Digital Signal Processing Techniques, Ph.D. dissertation. Hong Kong: University of Hong Kong, 2011.
  20. BOGATIN, E. Signal and Power Integrity – Simplified. Upper Saddle River (NJ, USA): Prentice Hall, 2010.
  21. RESSO, M., BOGATIN, E. Signal Integrity Characterization Techniques. International Engineering Consortium, 2009.
  22. YU, W. Electromagnetic Simulation Techniques Based on the FDTD Method. Wiley, 2009.
  23. LEI, C. U. Exploiting implicit information from data for linear macromodeling. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2013, vol. 3, no. 9, p. 1570 - 1577.
  24. LEI, C. U., WONG, N. Efficient linear macromodeling via discretetime time-domain vector fitting. In Proceedings of International Conference on VLSI Design. 2008, p. 469 - 474.
  25. LEI, C. U., WONG, N. VISA: Versatile Impulse Structure Approximation for time-domain linear macromodeling. In Proceedings of Asia and South Pacific Design Automation Conference. Taipei (Taiwan), 2010, p. 37 - 42.
  26. LEI, C. U., WONG, N. WISE: Warped Impulse Structure Estimation for time-domain linear macromodeling, IEEE Transactions on Components, Packaging and Manufacturing Technology, 2012, vol. 2, no. 1, p. 131 - 139.
  27. BASEL, M., STEER, M., FRANZON, P. Simulation of high speed interconnects using a convolution-based hierarchical packaging simulator. IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, 1995, vol. 18, no. 1, p. 74 - 82.
  28. ROY, S., DOUNAVIS, A. Transient simulation of distributed networks using delay extraction based numerical convolution. IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, 2011, vol. 30, no. 3, p. 364 - 373.
  29. RAO, K. R., YIP, P. Discrete cosine transform: algorithms, advantages, applications. Academic Press Professional, 1990.
  30. BODAPATI, S., NAJM, F. N. High-level current macro model for logic blocks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, vol. 25, no. 5, p. 837 - 855.
  31. AHMED, N., RAO, K. R. Orthogonal Transforms for Digital Signal Processing. Springer, 1975.
  32. CHO, N. I., MITRA, S. K. Warped discrete cosine transform and its application in image compression. IEEE Transactions on Circuits and Systems for Video Technology, 2000, vol. 10, no. 8, p. 1364 - 1373.
  33. GRIVET-TALOCIA, S., UBOLLI, A. A comparative study of passivity enforcement schemes for linear lumped macromodels. IEEE Transactions on Advanced Packaging, 2008, vol. 31, no. 4, p. 673 - 683.
  34. SMITH, J. O. Physical Audio Signal Processing for Virtual Musical Instruments and Audio Effects. W3K Publishing, 2010.
  35. VENKATARAMANI, B., BHASKAR, M. Digital Signal Processors: Architecture, Programming and Applications. Boston (MA, USA): McGraw-Hill Higher Education, 2002.
  36. ZENG, R. X., SINSKY, J. H. Modified rational function modeling technique for high speed circuits. In Proceedings of MTT-S International Microwave Symposium Digest. 2006, p. 1951 - 1954.

Keywords: simulation, signal integrity, high-speed circuits, macromodeling

G. Souliotis, C. Laoudias, N. Terzopoulos [references] [full-text] [Download Citations]
An Offset Cancelation Technique for Latch Type Sense Amplifiers

An offset compensation technique for a latch type sense amplifier is proposed in this paper. The proposed scheme is based on the recalibration of the charging/discharging current of the critical nodes which are affected by the device mismatches. The circuit has been designed in a 65 nm CMOS technology with 1.2 V core transistors. The auto-calibration procedure is fully digital. Simulation results are given verifying the operation for sampling a 5 Gb/s signal dissipating only 360 uW.

  1. MONTANARO, J., WITEK, R. T., ANNE, K., BLACK, Z., COOPER, E. M., DOBBERPUHL, D. W. et al. A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor. IEEE Journal of Solid-State Circuits, 1996, vol. 31, no. 11, p. 1703 - 1714.
  2. PELGROM, M., DUINMAIJER, A., WELBERS, A. Matching properties of MOS transistors. IEEE Journal of Solid-State Circuits, 1989, vol. 24, no. 5, p. 1433 - 1439.
  3. EMAMI-NEYESTANAK, A., LIU, D., KEELER, G., HELMAN, N., HOROWITZ, M. A 1.6 Gb/s, 3 mW CMOS receiver for optical communication. In Symposium on VLSI Circuits Digest of Technical Papers. Honolulu (HI, USA), 2002, p. 84 - 87.
  4. PALERMO, S., EMAMI-NEYESTANAK, A., HOROWITZ, M. A 90 nm CMOS 16 Gb/s transceiver for optical interconnects. IEEE Journal of Solid-State Circuits, 2008, vol. 43, no. 5, p. 1235 - 1246.
  5. PALERMO., S. Design of High-Speed Optical Interconnect Transceivers, Ph.D. Dissertation. Stanford University, 2007.
  6. GAMBINI, S., RABAEY, J. Low-Power successive approximation converter with 0.5 V supply in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 2007, vol. 42, no. 11, p. 2348 - 2356.
  7. EL-CHAMMAS, M., MURMANN, B. A 12-GS/s 81-mW 5-bit time-interleaved flash ADC with background timing skew calibration. IEEE Journal of Solid-State Circuits, 2011, vol. 46, no. 4, p. 838 - 847.
  8. WONG, K.-L. J., YANG, C.-K. K. Offset compensation in comparators with minimum input-referred supply noise. IEEE Journal of Solid-State Circuits, 2004, vol. 39, no. 5, p. 837 - 840.
  9. MIYAHARA, M., ASADA, Y., DAEHWA, P., MATSUZAWA, A. A low-noise self-calibrating dynamic comparator for highspeed ADCs. In Proceedings of IEEE Asian Solid-State Circuits Conference. Fukuoka (Japan), 2008, p. 269 - 272.
  10. ELLERSICK, W., YANG, C.-K. K., HOROWITZ, M. DALLY, W. GAD: A 12-GS/s CMOS 4-bit A/D converter for an equalized multi-level link. In Proceedings of Symposium on VLSI Circuits. Digest of Technical Papers. Kyoto (Japan), 1999, p. 49 - 52.
  11. KHANGHAH, M. M., SADEGHIPOUR, K. D. A 0.5 V offset cancelled latch comparator in standard 0.18 μm CMOS process. Analog Integrated Circuits and Signal Processing, 2014, vol. 79, no. 1, p. 161 - 169.
  12. RAZAVI, B., WOOLEY, B. A. Design techniques for high-speed, high-resolution comparators. IEEE Journal of Solid-State Circuits, 1992, vol. 27, no. 12, p. 1916 - 1926.
  13. SCHINKEL, D., MENSINK, E., KIUMPERINK, E., VAN TUIJL, E., NAUTA, B. A double-tail latch-type voltage sense amplifier with 18 ps setup+hold time. In Proceedings of IEEE International Solid-State Circuits Conference. Digest of Technical Papers. San Francisco (USA), 2007, p. 314 - 605.
  14. SCHINKEL, D. On-Chip Data Communication Analysis, Optimization and Circuit Design, PhD Dissertation. Twente (Netherlands): University of Twente, 2011. DOI: 10.3990/1.9789036532020.
  15. FIGUEIREDO, P. M., VITAL, J. C. Kickback noise reduction techniques for CMOS latched comparators. IEEE Transactions on Circuits and Systems – II: Express Briefs, 2006, vol. 53, no. 7, p. 541 - 545.
  16. KIM, J., LEIBOWITZ, B. S., REN, J., MADDEN C. J. Simulation and analysis of random decision errors in clocked comparators. IEEE Transactions on Circuits and Systems – I: Regular Papers, 2009, vol. 56, no. 8, p. 1844 - 1857.
  17. NIKOLIC, B., OKLOBDZIJA, V., STOJANOVIC, V. G., JIA, W., CHIU, J. K.-S., LEUNG, M. M.-T. Improved sense-amplifierbased flip-flop: design and measurements. IEEE Journal of SolidState Circuits, 2000, vol. 35, no. 6, p. 876 - 884.
  18. FILANOVSKY, I. Switching characteristic of MOS differential pair with mismatched transistors. International Journal of Electronics, 1988, vol. 65, no. 5, p. 999 - 1001.

Keywords: Offset cancelation, Sense amplifiers, Clocked comparators, Latch circuits.

S. A. Tekin, H. Ercan, M. Alci [references] [full-text] [Download Citations]
A Versatile Active Block: DXCCCII and Tunable Applications

The study describes dual-X controlled current conveyor (DXCCCII) as a versatile active block and its application to inductance simulators for testing. Moreover, the high pass filter application using with DXCCCII based inductance simulator and oscillator with flexible tunable oscillation frequency have been presented and simulated to confirm the theoretical validity. The proposed circuit which has a simple circuit design requires the low-voltage and the DXCCCII can also be tuned in the wide range by the biasing current. The proposed DXCCCII provides a good linearity, high output impedance at Z terminals, and a reasonable current and voltage transfer gain accuracy. The proposed DXCCCII and its applications have been simulated using the CMOS 0.18 µm technology.

  1. CHANG, C. M., CHEN P. C. Realization of current-mode transfer function using second-generation current conveyors. International Journal of Electronics, 1991, vol. 71, no. 5, p. 809–15.
  2. FABRE, A., SAAID, O., WIEST, F., BOUCHERON, C. Current controlled bandpass filter based on translinear conveyors. Electronic Letters, 1995, vol. 31, no. 20, p. 1727–28.
  3. ERCAN, H., ALÇI, M. A new design for a BiCMOS controlled current conveyor. Elektronika Ir Elektrotechnika (Journal Electronics and Electrical Engineering), 2013, vol. 19, no. 1, p. 56–60.
  4. FANI, R., FARSHIDI, E. A FG-MOS based fully differential current controlled conveyor and its applications. Circuits, Systems and Signal Processing, 2012, vol. 32, no. 3, p. 993–1011.
  5. MINAEI, S., YUCE, E. A new full-wave rectifier circuit employing single dual-X current conveyor. International Journal of Electronics, 2008, vol. 95, no. 8, p. 777–784.
  6. METIN, B. Supplementary inductance simulator topologies employing single DXCCII. Radioengineering, 2011, vol. 20, no. 3, p. 614–618.
  7. MAHESHWARI, S., ANSARI, M. S. Catalog of realizations for DXCCII using commercially available ICs and application. Radioengineering, 2012, vol. 21, no. 1, p. 281–289.
  8. MINAEI, S., YUCE, E. Unity/variable-gain voltage-mode/currentmode first-order all-pass filters using single dual-X secondgeneration current conveyor. IETE Journal of Research, 2010, vol. 56, no. 6, p. 305–312.
  9. ANSARI, M. S., KHAN, I. A., BEG, P., NAHHAS, A. M. Three phase mixed-mode CMOS VCO with grounded passive components. SAP publishing, Electrical and Electronic Engineering, 2013, vol. 3, no. 6, p. 149–155.
  10. MYDERRIZIA, I., MINAEI, S., YUCE, E. DXCCII-based grounded inductance simulators and filter applications. Microelectronics Journal, 2011, vol. 42, no. 9, p. 1074–1081.
  11. TEKİN, S. A., ERCAN, H., ALÇI, M. Novel low voltage CMOS current controlled floating resistor using differential pair. Radioengineering, 2013, vol. 22, no. 2, p. 428–433.
  12. RODRIGUEZ-VILLEGAS, E. Low Power and Low Voltage Circuit Design with the FGMOS Transistor. Institution of Engineering and Technology, London, UK, 2006.
  13. KHATEB, F., KHATIB, N., KOTON, J. Novel low-voltage ultralow-power DVCC based on floating-gate folded cascode OTA. Microelectronics Journal, 2011, vol. 42, no. 8, p. 1010–1017.
  14. TEKIN, S. A. Voltage summing current conveyor (VSCC) for oscillator and summing amplifier applications. Informacije MIDEM-Journal of Microelectronics, Electronic Components and Materials, 2014, vol. 44, no. 2, p. 159–167.
  15. ZEKI, A., TOKER, A. The dual-X current conveyor (DXCCII): A new active device for tunable continuous-time filters. International Journal of Electronics, 2003, vol. 89, no. 13, p. 913–923.
  16. KAÇAR, F., METİN, B., KUNTMAN, H. A new CMOS dual-X second generation current conveyor (DXCCII) with an FDNR circuit application. International Journal of Electronics and Communications (AEU), 2010, vol. 64, no. 8, p. 774–778.
  17. KUMAR, P., SENANI, R. New grounded simulated inductance circuit using a single PFTFN. Analog Integrated Circuits and. Signal Processing, 2010, vol. 62, no. 1, p. 105–112.
  18. YUCE, E. Inductor implementation using a canonical number of active and passive elements. International Journal of Electronics, 2007, vol. 94, no. 4, p. 317–326.
  19. YUCE, E., MINAEI, S., ÇİÇEKOĞLU, O. A novel grounded inductor realization using a minimum number of active and passive components. ETRI Journal, 2005, vol. 27, no. 4, p. 427–432.
  20. KAÇAR, F., YEŞİL, A., MINAEI, S., KUNTMAN, H. Positive/negative lossy/lossless grounded inductance simulators employing single VDCC and only two passive elements. International Journal of Electronics and Communications (AEU), 2014, vol. 68, no. 1, p. 73–78.
  21. JAIKLA, W., LAHIRI, A. Resistor–less current–mode four–phase quadrature oscillator using CCCDTAs and grounded capacitors. International Journal of Electronics and Communications (AEU), 2011, vol. 66, no. 3, p. 214–218.
  22. SOTNER, R., HRUBOS, Z., SEVCIK, B., SLEZAK, J., PETRZELA, J., DOSTAL, T. An example of easy synthesis of active filter and oscillator using signal flow graph modification and controllable current conveyors. Journal of Electrical Engineering, 2011, vol. 62, no. 5, p. 258–266.
  23. HORNG, J.W., LEE, H., WU, J. Electronically tunable third–order quadrature oscillator using CDTAs. Radioengineering, 2010, vol. 19, no. 2, p. 326–330.
  24. KWAWSIBSAM, A., SREEWIROTE, B., JAIKLA, W. Thirdorder voltage mode quadratrue oscillator using DDCC and OTAs. In International Conference on Circuits, System and Simulation IPCSIT. Singapore, 2011, vol. 7, p. 317–321.
  25. GALAN, J., CARVAJAL, R. G., MUNOZ, F., TORRALBA, A., RAMIREZ-ANGULO, J. A low-power low-voltage OTA-C sinusoidal oscillator with more than two decades of linear tuning range. In Proc. of the 2003 International Symposium on Circuits and Systems. Bangkok (Thailand), 2003, vol. 1, p. 677–680.
  26. BEG, P., SIDDIQI, M. A., ANSARI, M. S. Multi output filter and four phase sinusoidal oscillator using CMOS DX-MOCCII. International Journal of Electronics, vol. 98, no. 9, p. 1185 – 1198.
  27. CHIEN, H. C. Voltage- and current-modes sinusoidal oscillator using a single differential voltage current conveyor. Journal of Applied Science and Engineering, 2013, vol. 16, no. 4, p. 395 to 404.
  28. BIOLEK, D., KESKIN, A. U., BIOLKOVA, V. Grounded capacitor current mode single resistance-controlled oscillator using single modified current differencing transconductance amplifier. IET Circuits, Devices & Systems, 2010, vol. 4, no. 6, p. 496–502.
  29. LI, Y. A new single MCCCDTA based Wien-bridge oscillator with AGC. International Journal of Electronics and Communications (AEU), 2012, vol. 66, no. 2, p. 153–156.
  30. HORNG, J. W. A sinusoidal oscillator using current-controlled current conveyors. International Journal of Electronics, 2001, vol. 88, no.6, p. 659–664.

Keywords: Dual-X current conveyor, low voltage, tunable circuit, controlled oscillator.

S. C. Yener, H. H. Kuntman [references] [full-text] [Download Citations]
Fully CMOS Memristor Based Chaotic Circuit

This paper demonstrates the design of a fully CMOS chaotic circuit consisting of only DDCC based memristor and inductance simulator. Our design is composed of these active blocks using CMOS 0.18 µm process technology with symmetric ±1.25 V supply voltages. A new single DDCC+ based topology is used as the inductance simulator. Simulation results verify that the design proposed satisfies both memristor properties and the chaotic behavior of the circuit. Simulations performed illustrate the success of the proposed design for the realization of CMOS based chaotic applications.

  1. CHUA, L. O. Memristor - the missing circuit element. IEEE Trans. on Circuit Theory, 1971, vol. 18, no. 5, p. 507–519.
  2. STRUKOV, D.B., SNIDER, G.S., STEWART, D.R., WILLIAMS, R. S. The missing memristor found. Nature, 2008, vol. 453, 1 May 2008, p. 80–83.
  3. KAVEHEI, O., IQBAL, A., KIM, Y., ESHRAGHIAN, K., ALSARAWI, S., ABBOTT, D. The fourth element: characteristics, modelling and electromagnetic theory of the memristor. Proceedings of the Royal Society A: Mathematical, Physical and Engineering Science, 2010, 466 (2120), p. 2175–2202.
  4. SAH, M. P.D., YANG, C., KIM, H., CHUA, L.O. A voltage mode memristor bridge synaptic circuit with memristor emulators. Sensors, 2012, vol. 12, no. 3, p. 3587–3604.
  5. MUTHUSWAMY, B., CHUA, L. O. Simplest chaotic circuit. International Journal of Bifurcation and Chaos, 2010, vol. 20, no. 5, p. 1567–1580.
  6. IU, H.H.C., YU, D.S., FITCH, A.L., SREERAM, V., CHEN, H. Controlling chaos in a memristor based circuit using a twin-T notch filter. IEEE Transactions on Circuits and Systems I: Regular Papers, 2011, vol. 58, no. 6, p. 1337–1344.
  7. DRISCOLL, T., PERSHIN, Y. V., BASOV, D. N., DI VENTRA, M. Chaotic memristor. Applied Physics A, 2010, vol. 102, no. 4, p. 885-889.
  8. YENER, S.C., KUNTMAN, H. A new CMOS based memristor implementation. In Proc. of 2012 International Conference on Applied Electronics. Pilsen (Czech Republic), 2012, p. 345-348.
  9. YENER, Ş. Ç., MUTLU, R., KUNTMAN, H. Performance analysis of a memristor-based biquad filter using its dynamic model. Informacije MIDEM - Journal of Microelectronics, Electronic Components and Materials, 2014, vol. 44, no. 2, p. 109–118.
  10. YENER, Ş. Ç., MUTLU, R., KUNTMAN, H. A new memristorbased high-pass filter: Its analytical and dynamical analysis. In 24th International Conference Radioelektronika 2014. Bratislava, (Slovak Republic), April 15 – 16, 2014, p. 1–4.
  11. YENER, Ş. Ç., MUTLU, R., KUNTMAN, H. Frequency and time domain characteristics of memristor-based filters. In 22nd Signal Processing and Communication Applications Conference (SİU). Karadeniz Technical University, Trabzon, 2014, p. 2027–2030.
  12. RAK, A., CSEREY, G. Macromodeling of the memristor in SPICE. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, vol. 29, no. 4, p. 632 – 636.
  13. BIOLEK, Z., BIOLEK, D., BIOLKOVA, V. SPICE model of memristor with nonlinear dopant drift. Radioengineering, 2009, vol. 18, no. 2, p. 210–214.
  14. BATAS, D., FIEDLER, H. A memristor SPICE implementation and a new approach for magnetic flux-controlled memristor modeling. IEEE Transactions on Nanotechnology, 2011, vol. 10, no. 2, p. 250–255.
  15. BENDERLI, S., WEY, T. A. On SPICE macromodelling of TiO2 memristors. Electronics Letters, 2009, vol. 45, no. 7, p. 377–379.
  16. SHARIFI, M. J., BANAKIDI, Y. M. General SPICE models for memristor and application to circuit simulation of memristor-based synapses and memory cells. Journal to Circuits, Systems and Computers, 2010, vol. 19, no. 2, p. 407–424.
  17. PERSHIN, Y. V., DI VENTRA, M. SPICE model of memristive devices with threshold. Radioengineering, 2013, vol. 22, no. 2, p. 485–489.
  18. BIOLEK, D., DI VENTRA, M., PERSHIN, Y. V. Reliable SPICE simulations of memristors, memcapacitors and meminductors. Radioengineering, 2013, vol. 22, no. 4, p. 945–968.
  19. BIOLEK, Z., BIOLEK, D., BIOLKOVA, V. Analytical computation of the area of pinched hysteresis loops of ideal memelements. Radioengineering, 2013, vol. 22, no. 1, p. 132–135.
  20. PERSHIN, Y. V., DI VENTRA, M. Practical approach to programmable analog circuits with memristors. IEEE Transactions on Circuits and Systems - I, 2010, vol. 57, no. 8, p. 1857–1864.
  21. KIM, H., SAH, M. P., YANG, C., CHO, S., CHUA, L. O. Memristor emulator for memristor circuit applications. IEEE Transactions on Circuit and Systems – I, 2012, vol. 59, no.10, p. 2422–2431.
  22. MUTLU, R., KARAKULAK, E. Emulator circuit of TiO2 memristor with linear dopant drift made using analog multiplier. In National Conference on Electrical, Electronics and Computer Engineering (ELECO) 2010. Bursa (Turkey), 2010, p. 380–384.
  23. RADWAN, A. G., SOLIMAN, A. M., EL-SEDEEK A. An inductorless CMOS realization of Chua’s circuit. Chaos, Solitons and Fractals, 2003, vol. 18, p. 149–158.
  24. GOPAKUMAR, K., PREMBLET, B., GOPCHANDRAN, K. G. Implementation of Chua's circuit using simulated inductance. International Journal of Electronics, 2011, vol. 98, no. 5, p. 667 to 677.
  25. ZHONG, G. Implementation of Chua's circuit with a cubic nonlinearity. IEEE Transactions on Circuits and Systems, 1994, vol. 41, no. 12, p. 934–941.
  26. MUTHUSWAMY, B. Implementing memristor based chaotic circuits. International Journal of Bifurcation and Chaos, 2010, vol. 20, no. 5, p. 1335–1350.
  27. SPANY, V., GALAJDA, P., GUZAN, M., PIVKA, L., OLEJAR, M. Chua's singularities: great miracle in circuit theory. International Journal of Bifurcation and Chaos, 2010, vol. 20, no. 10, p. 2993–3006.
  28. CHIU, W., LIU, S. I., TSAO, H. W., CHEN, J. J. CMOS differential difference current conveyors and their applications. IEEE Proceedings: Circuits, Devices and Systems, 1996, vol. 143, p. 91–96.
  29. CICEKOGLU, O., KUNTMAN, H. Single CCII+ based active simulation of grounded inductors. In ECCTD 2014: European Conference on Circuit Theory and Design. Budapest (Hungary), 1997, p. 105–109.
  30. CHANG, C. M., LEE, C. N., HOU, C. L., HORNG, J. W., TU, C. K. High-order DDCC-based general mixed-mode universal filter. IEEE Proceedings: Circuits, Devices and Systems, 2006, vol. 153, p. 511–516.

Keywords: Memristor, CMOS design, DDCC, Chua's circuit, chaotic oscillators.

R. Srivastava, M. Gupta, U. Singh [references] [full-text] [Download Citations]
Low Voltage Floating Gate MOS Transistor Based Four-Quadrant Multiplier

This paper presents a four-quadrant multiplier based on square-law characteristic of floating gate MOSFET (FGMOS) in saturation region. The proposed circuit uses square-difference identity and the differential voltage squarer proposed by Gupta et al. to implement the multiplication function. The proposed multiplier employs eight FGMOS transistors and two resistors only. The FGMOS implementation of the multiplier allows low voltage operation, reduced power consumption and minimum transistor count. The second order effects caused due to mobility degradation, component mismatch and temperature variations are discussed. Performance of the proposed circuit is verified at ±0.75 V in TSMC 0.18 µm CMOS, BSIM3 and Level 49 technology by using Cadence Spectre simulator.

  1. RAJPUT, S. S., JAMUAR, S. S. Low voltage analog circuit design techniques. IEEE Circuits and Systems Magazine, 2002, vol. 2, no. 1, p. 24–42. DOI: 10.1109/MCAS.2002.999703.
  2. HAGA, Y., ZARE-HOSEINI, H., BERKOVI, L., KALE, I. Design of a 0.8 Volt fully differential CMOS OTA using the bulk- driven technique. In IEEE International Symposium on Circuits and Systems. Kobe (Japan), 2005, vol. 1, p. 220–223.
  3. AGGARWAL, B., GUPTA, M., GUPTA, A. K. Analysis of low voltage bulk-driven self-biased high swing cascode current mirror. Microelectronics Journal, 2013. vol. 44, no. 3, p. 225–235. DOI: 10.1016/j.mejo.2012.12.006.
  4. BERG, Y., LANDE, T. S., NAESS, S. Low-voltage floating gate current mirrors. In 10th Annual IEEE International ASIC Conference and Exhibit. Portland (USA), 1997, p. 21–24. DOI: 10.1109/ASIC.1997.616971.
  5. LANDE, T. S., WISLAND, D. T., SOETHER, T., BERG, Y. FLOGIC-Floating gate logic for low-power operation. In 3rd IEEE International Conference on Electronics, Circuits and Systems. Rhodos (Greece), 1996, vol. 2, p. 1041–1044. DOI: 10.1109/ICECS.1996.584565.
  6. MINAEI, S., YUCE, E. New squarer circuits and a current-mode full-wave rectifier topology suitable for integration. Radioengineering, 2010, vol. 19, no. 4, p. 657–661.
  7. GUPTA, M., PANDEY, R. FGMOS based voltage-controlled resistor and its applications. Microelectronics Journal, 2010, vol. 41, no. 1, p. 25–32. DOI: 10.1016/j.mejo.2009.12.001.
  8. GUPTA, M., PANDEY, R. Low-voltage FGMOS based analog building blocks. Microelectronics Journal, 2011, vol. 42, no. 6, p. 903-912. DOI: 10.1016/j.mejo.2011.03.013.
  9. PANDEY, R., GUPTA, M. FGMOS based tunable grounded resistor. Analog Integrated Circuits & Signal Processing, 2010, vol. 65, no. 3, p. 437–443. DOI: 10.1007/s10470-010-9500-x.
  10. RODRIGUEZ-VILLEGAS, E. Low power and low voltage circuit design with the FGMOS transistor. IET Circuits, Devices and Systems Series 20. The Institution of Engineering and Technology, London, United Kingdom, 2006.
  11. LOPEZ-MARTIN, A. J., RAMIREZ-ANGULO, J., CHINTHAM, R., CARVAJAL, R. G. Class AB CMOS analogue squarer circuit. Electronics Letters, 2007, vol. 43, no. 20, p. 1059–1060.
  12. GILBERT, B. A high-performance monolithic multiplier using active feedback. IEEE Journal of Solid-State Circuits, 1974, vol. 9, no. 6, p. 364–373.
  13. GILBERT, B. A precise four-quadrant multiplier with subnanosecond response. IEEE Journal of Solid-State Circuits, 1968, vol. 3, no. 4, p. 365–373.
  14. KIMURA, K. A bipolar four-quadrant analog quarter-square multiplier consisting of unbalanced emitter-coupled pairs and expansions of its input ranges. IEEE Journal of Solid-State Circuits, 1994, vol. 29, no. 1, p. 46–55.
  15. BABANEZHAD, J. N., TEMES, G. C. 20-V four-quadrant CMOS analog multiplier. IEEE Journal of Solid-State Circuits, 1985, vol. 20, no. 6, p. 1158–1168.
  16. QIN, S. C., GEIGER, R. L. A ±5-V CMOS analog multiplier. IEEE Journal of Solid-State Circuits, 1987, vol. 22, no. 6, p. 1143 to 1146.
  17. SOO, D. C., MEYER, R. G. A four-quadrant NMOS analog multiplier. IEEE Journal of Solid-State Circuits, 1982, vol. 17, no. 6, p. 1174–1178.
  18. SONG, H. J., KIM, C. K. An MOS four-quadrant analog multiplier using simple two-input squaring circuits with source followers. IEEE Journal of Solid-State Circuits, 1990, vol. 25, no. 3, p. 841 to 848. DOI: 10.1109/4.102683.
  19. LIU, S. I., HWANG, Y. S. CMOS squarer and four-quadrant multiplier. IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications, 1995, vol. 42, no. 2, p. 119–122. DOI: 10.1109/81.372853.
  20. YASUMOTO, M., ENOMOTO, T. Integrated MOS four-quadrant analogue multiplier using switched-capacitor technique. Electronics Letters, 1982, vol. 18, no. 18, p. 769–771.
  21. RAMIREZ-ANGULO, J. Highly linear four quadrant analog BiCMOS multiplier for ±1.5 V supply operation. Electronics Letters, 1992, vol. 28, no. 19, p. 1783–1785.
  22. LIU, W., LIU, S. I. Design of a CMOS low-power and low-voltage four-quadrant analog multiplier. Analog Integrated Circuits & Signal Processing, 2010, vol. 63, no. 2, p. 307–312.
  23. LIU, S. I, LEE, J. L., CHANG, C. C. Low-voltage BiCMOS fourquadrant multiplier and squarer. Analog Integrated Circuits and Signal Processing, 1999, vol. 20, no. 1, p. 25–29.
  24. YUCE, E. Design of a simple current-mode multiplier topology using a single CCCII+. IEEE Transactions on Instrumentation and Measurement, 2008, vol. 57, no. 3, p. 631–637.
  25. KESKIN, A. U. A four quadrant analog multiplier employing single CDBA. Analog Integrated Circuits & Signal Processing, 2004, vol. 40, no. 1, p. 99–101.
  26. VLASSIS, S., SISKOS, S. Differential-voltage attenuator based on floating-gate MOS transistors and its applications. IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications, 2001, vol. 48, no. 11, p. 1372–1378.
  27. VLASSIS, S., SISKOS, S. Analogue squarer and multiplier based on floating-gate MOS transistors. Electronics Letters, 1998, vol. 34, no. 9, p. 825–826. DOI: 10.1049/el:19980639.
  28. NAVARRO, I., LOPEZ-MARTIN, A. J., DE LA CRUZ, C. A., CARLOSENA, A. A compact four-quadrant floating-gate MOS multiplier. Analog Integrated Circuits & Signal Processing, 2004, vol. 41, no. 2–3, p. 159–166.
  29. KELEŞ, S., KUNTMAN, H. H. Four quadrant FGMOS analog multiplier. Turkish Journal of Electrical Engineering & Computer Sciences, 2011, vol. 19, no. 2, p. 291–301.
  30. SHARMA, S., RAJPUT, S. S., MANGOTRA, L. K., JAMUAR, S. S. FGMOS current mirror: behaviour and bandwidth enhancement. Analog Integrated Circuits & Signal Processing, 2006, vol. 46, no. 3, p. 281–286.
  31. GUPTA, M, SRIVASTAVA, R., SINGH, U. Low voltage floating gate MOS transistor based differential voltage squarer. ISRN Electronics, vol. 2014, article ID 357184, 6 pages. DOI:10.1155/2014/357184.
  32. SRIVASTAVA, R., GUPTA, M., SINGH, U. FGMOS transistor based low voltage and low power fully programmable Gaussian function generator. Analog Integrated Circuits and Signal Processing, 2014, vol. 78, no. 1, p. 245–252. DOI: 10.1007/s10470-013-0207-7.

Keywords: FGMOS, low-voltage, low-power, four-quadrant, multiplier.

J. Torres, F. Hernandez, J. Habermann [references] [full-text] [Download Citations]
Digital Demodulator for BFSK waveform based upon Correlator and Differentiator Systems

The present article relates in general to digital demodulation of Binary Frequency Shift Keying (BFSK waveform) . New processing methods for demodulating the BFSK-signals are proposed here. Based on Sampler Correlator, the hardware consumption for the proposed techniques is reduced in comparison with other reported. Theoretical details concerning limits of applicability are also given by closed-form expressions. Simulation experiments are illustrated to validate the overall performance.

  1. PENG, K.-C., LIN, C.-C., CHAO, C.-H.. A novel three-point modulation technique for fractional-N frequency synthesizer applications, Radioengineering. 2013, vol. 22, no. 1, p. 269–275.
  2. VERTAT, I., MRAZ, J. Hybrid M-FSK/DQPSK modulations for CubeSat picosatellites, Radioengineering. 2013, vol. 22, nol. 1, p. 389–393.
  3. THOMPSON, A. C., HUSSAIN, Z. M., O’SHEA P. A single-bit digital non-coherent baseband BFSK demodulator, In IEEE Region 10 Conference TENCON. 2004, vol. 1, p. 515–518.
  4. TERVO, R., ZHOU, K. DSP based self-tuning BFSK demodulation, In IEEE Pacific Rim Conference on Communications, Computers and Signal Processing, 1993, vol. 1, p. 68–71.
  5. SKLAR, B. Digital Communications, Fundamental and Applications, 2nd ed. New Jersey: Prentice Hall, 2001.
  6. RICHMAN, D. Color-carrier reference phase synchronization accuracy in NTSC color television. Proceedings of the IRE, 1954, vol.42, no.1, p. 106–133.
  7. GARDNER, F., Properties of Frequency Difference Detectors. In IEEE Transactions on Communications,1985, vol. 33, no. 2, p. 131–138.
  8. PARK, J., An FM detector for low S/N. IEEE Transactions on Communication Technology, 1970, vol. 18, no. 2, p. 110–118.
  9. NATALI, F., AFC tracking algorithms. IEEE Transactions on Communications, 1984, vol. 32, no. 8, p. 935–947.
  10. FARRELL, K., A., McLANE, P., J. Performance of the crosscorrelator receiver for binary digital frequency modulation. IEEE Transactions on Communications, 1997, vol. 45, no. 5, p. 573–582.
  11. KANG, H., KIM, D., PARK, S.C. Coarse frequency offset estimation using a delayed auto-quadricorrelator in OFDM-based WLANs, In 3rd International Congress on Ultra Modern Telecommunications and Control Systems and Workshops (ICUMT), 2011, p. 1–4.
  12. ORDU, G., KRUTH, A., SAPPOK, S., WUNDERLICH, R., HEINEN. S. A quadricorrelator demodulator for a Bluetooth low-IF receiver. In IEEE Radio Frequency Integrated Circuits (RFIC) Symposium. Digest of Papers. 2004, p. 351–354.
  13. KREUZGRUBER, P. A class of binary FSK direct conversion receivers. In IEEE 44th Vehicular Technology Conference, . 1994, vol. 1, p. 457–461.
  14. EGAU, PC. Correlation systems in radio astronomy and related fields.In IEEE Proceedings F Communications, Radar and Signal Processing. 1984, vol. 131, no. 1, p. 32–39.
  15. OPPENHEIM, A., V., SCHAFER, R., W., BUCK, J., R. DiscreteTime Signal Processing. 2nd ed. New Jersey: Prentice Hall, 1998.
  16. AHN, T., YOON, C.-G., MOON, Y. An adaptive frequency calibration technique for fast locking wideband frequency synthesizers, In 48th Midwest Symposium on Circuits and Systems. 2005, vol. 2, p. 1899–1902.
  17. LEE, Y., W., CHEATHAM, T., P., WIESNER, J., B. Application of correlation analysis to the detection of periodic signals in noise, Proceedings of the IRE, 1950, vol. 38, no. 10, p. 1165–1171.
  18. PEEK, J., B., H. The measurement of correlation functions in correlators using ”shift-invariant independent functions”, Philips Res. Rep. Suppl. 1968, vol. 1.
  19. CHANG, K.-Y., MOORE, A. Modified digital correlator and its estimation errors, IEEE Transactions on Information Theory, 1970, vol. 16, no. 6, p. 699–706.
  20. VAN VLECK, J., H. The spectrum of clipped noise, Tech. Rep. No. 51. Cambridge, Mass., Radio Res. Lab., Harvard University, 1943.
  21. WATTS, D., G. A general theory of amplitude quantization with applications to correlation determination, Proceedings of the IEEE - Part C: Monographs. 1962, vol. 109, no. 15, p. 209–218.
  22. JESPER, P., CHU, P., T., FETTWEIS, A: A new method to compute correlation functions, In Int. Symp. Inform. Theory, and IRE Trans. Inform. Theory. 1962, p. 106–107.
  23. BERNDT, H., Correlation function estimation by a polarity method using stochastic reference signals, IEEETransactions 1968, IT-14, p.796–801.
  24. ARZENO, N. M., DENG, Z. D., POON C. S. Analysis of firstderivative based QRS detection algorithms, IEEE Transactions on Biomedical Engineering. 2008, vol. 55, no. 2, p.478–84.
  25. MUKHOPADHYAY, S., MITRA, M., MITRA, S. Time plane ECG feature extraction using hilbert transform, variable threshold and slope reversal approach, In 2011 International Conference on Communication and Industrial Application (ICCIA). 2011, p. 1–4.
  26. FRIESEN, G., JANNET, T., JADALLAH, M., YATES, S., QUINT, S., Nagle, H. A comparison of the noise sensitivity of nine QRS detection algorithms, IEEE Transactions on Biomedical Engineering, 1990, vol. 37, no. 1, p. 85–98, 1990.
  27. ARZENO, N., DENG, Z., D., POON, C., S. Analysis of firstderivative based QRS detection algorithms, IEEE Transactions on Biomedical Engineering, 2008, vol. 55, no. 2, p. 478–484.
  28. CARLSON, A., B., Crilly, P., B., RUTLEDGE, J., C. Communication systems: an introduction to signals and noise in electrical communication, 4th ed .McGraw-Hill, 2002.

Keywords: BFSK, Correlator, Digital Receiver

H.T. Xie, D.X. An, X.T. Huang, Z.M. Zhou [references] [full-text] [Download Citations]
Efficient Raw Signal Generation Based on Equivalent Scatterer and Subaperture Processing for SAR with Arbitrary Motion

An efficient SAR raw signal generation method based on equivalent scatterer and subaperture processing is proposed in this paper. It considers the radar’s motion track, which can obtain the precise raw signal for the real SAR. First, the imaging geometry with arbitrary motion is established, and then the scene is divided into several equidistant rings. Based on the equivalent scatterer model, the approximate expression of the SAR system transfer function is derived, thus each pulse’s raw signal can be generated by the convolution of the transmitted signal and system transfer function, performed by the fast Fourier transform (FFT). To further improve the simulation efficiency, the subaperture and polar subscene processing is used. The system transfer function of pluses for the same subaperture is calculated simultaneously by the weighted sum of all subscenes’ equivalent backscattering coefficient in the same equidistant ring, performed by the nonuniform FFT (NUFFT). The method only involves the FFT, NUFFT and complex multiplication operations, which means the easier implementation and higher efficiency. Simulation results are given to prove the validity of this method.

  1. CUMMING, I. G., WONG, F. H. Digital Processing of Synthetic Aperture Radar Data: Algorithms and Implementation. 1st ed. Norwood: Artech House, 2005.
  2. XIE, H., AN, D., HUANG, X., LI, X., ZHOU, Z. Fast factorised backprojection algorithm in elliptical polar coordinate for onestationary bistatic very high frequency/ultrahigh frequency ultra wideband synthetic aperture radar with arbitrary motion. IET Radar, Sonar and Navigation, 2014, vol. 8, no. 8, p. 946–956, DOI: 10.1049/iet-rsn.2012.0350.
  3. XIE, H., AN, D., HUANG, X., ZHOU, Z. Fast time-domain imaging in elliptical polar coordinate for general bistatic VHF/UHF ultra-wideband SAR with arbitrary motion. IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, September 2014, DOI: 10.1109/JSTARS.2014.2347413.
  4. FRANCESCHETTI, G., MIGLIACCIO, M., RICCIO, D., SCHIRINZI, G. SARAS: A synthetic aperture radar (SAR) raw signal simulator. IEEE Transactions on Geoscience and Remote Sensing, 1992, vol. 30, no. 1, p. 110–123.
  5. CIMMINO, S., FRANCESCHETTI, G., IODICE, A., RICCIO, D., RUELLO, G. Efficient spotlight SAR raw signal simulation of extended scenes. IEEE Transactions on Geoscience and Remote Sensing, 2003, vol. 41, no. 10, p. 2329–2337.
  6. QIU, X., HU, D., ZHOU, L., DING, C. A bistatic SAR raw data simulator based on inverse ω-k algorithm. IEEE Transactions on Geoscience and Remote Sensing, 2010, vol. 48, no. 3, p. 1540 to 1547.
  7. LIN, Y. C., SARABANDI, K. A Monte Carlo coherent scattering model for forest canopies using fractal-generated trees. IEEE Transactions on Geoscience and Remote Sensing, 1999, vol. 37, no. 1, p. 440–451.
  8. BROWN, C. G., SARABANDI, K., GILGENBACH, M. Physicsbased simulation of high-resolution polarimetric SAR images of forested areas. In Proceedings of IEEE International Geoscience and Remote Sensing Symposium. Toronto (Canada), 2002, p. 466 to 468.
  9. FRANCESCHETTI, G., MIGLIACCIO, M., RICCIO, D. On ocean SAR raw signal simulation. IEEE Transactions on Geoscience and Remote Sensing, 1998, vol. 38, no. 1, p. 84–100.
  10. FRANCESCHETTI, G., IODICE, A., RICCIO, D. A canonical problem in electromagnetic backscattering from buildings. IEEE Transactions on Geoscience and Remote Sensing, 2002, vol. 40, no. 8, p. 1787–1801.
  11. FRANCESCHETTI, G., IODICE, A., RICCIO, D., RUELLO, G. SAR raw signal simulation for urban structures. IEEE Transactions on Geoscience and Remote Sensing, 2003, vol. 41, no. 9, p. 1986–1995.
  12. WANG, Z. L., XU, F., JIN, Y. Q., OGURA, H. A double Kirchhoff approximation for very rough surface scattering using the stochastic functional approach. Radio Science, 2005, vol. 40, no. 4, RS4011, DOI: 10.1029/2004RS003079.
  13. XU, F., JIN, Y. Q. Deorientation theory of polarimetric scattering targets and application to terrain surface classification. IEEE Transactions on Geoscience and Remote Sensing, 2005, vol. 43, no. 10, p. 2351–2364.
  14. XU, F., JIN, Y. Q. Imaging simulation of polarimetric synthetic aperture radar for comprehensive terrain scene using the mapping and projection algorithm. IEEE Transactions on Geoscience and Remote Sensing, 2006, vol. 44, no. 11, p. 3219–3234.
  15. YONGYAN, L. SAR Image. Harbin: Harbin Institute of Technology Press, 1999.
  16. FRANCESCHETTI, G., IODICE, A., RICCIO, D., RUELLO, G. A 2-D Fourier domain approach for spotlight SAR raw signal simulation of extended scenes. In Proceedings of IEEE International Geoscience and Remote Sensing Symposium. Toronto (Canada), 2002, p. 853–855.
  17. FRANCESCHETTI, G., IODICE, A., PERNA, S., RICCIO, D. Efficient simulation of airborne SAR raw data of extended scenes IEEE Transactions on Geoscience and Remote Sensing, 2006, vol. 44, no. 10, p. 2851–860.
  18. FRANCESCHETTI, G., IODICE, A., PERNA, S., RICCIO, D. SAR sensor trajectory deviations: Fourier domain formulation and extended scene simulation of raw signal. IEEE Transactions on Geoscience and Remote Sensing, 2006, vol. 44, no. 9, p. 2323 to 2334.
  19. FRANCESCHETTI, G., GUIDA, R., IODICE, A., RICCIO, D., RUELLO, G., STILLA, U. Simulation tools for interpretation of high resolution SAR images of urban areas. In Proceedings of Urban Remote Sensing Joint Event. Paris (France), 2007, p. 1–5.
  20. WANG, Y., ZHANG, Z., DENG, Y. Squint spotlight SAR raw signal simulation in the frequency domain using optical principles. IEEE Transactions on Geoscience and Remote Sensing, 2008, vol. 46, no. 8, p. 2208–2215.
  21. KHWAJA, A. S., FERRO-FAMIL, L., POTTIER, E. SAR raw data simulation using high precision focusing methods. In Proceedings of European Radar Conference. Paris (France), 2005, p. 33–36.
  22. KHWAJA, A. S., FERRO-FAMIL, L., POTTIER, E. Efficient SAR raw data generation for anisotropic urban scenes based on inverse processing. IEEE Geoscience and Remote Sensing Letters, 2009, vol. 6, no. 4, p. 757–761.
  23. HUANG, L., WANG, Z., ZHENG, T. A fast algorithm based on FFT used in simulation of SAR return wave signal. Journal of Remote Sensing, 2004, vol. 8, no. 2, p. 128–136.
  24. ZHANG, S., LONG, T., AENG, T., DING, Z. Space-borne synthetic aperture radar received data simulation based on airborne SAR image data. Advances in Space Research, 2008, vol. 41, no. 11, p. 181–182.
  25. WEN, L., ZHENG, T. A sub-aperture based SAR raw signal generation method. In Proceedings of IET International Radar Conference. Guilin (China), 2009, p. 89–92.
  26. ZHANG, S., ZHANG, W., KONG, L. SAR raw signal simulation based on sub-aperture processing. In Proceedings of International Radar Conference. Washington (USA), 2010, p. 569–572.
  27. LIU, Q. H., NGUYEN, N. An accurate algorithm for nonuniform fast Fourier transforms (NUFFT’s). IEEE Microwave and Guided Wave Letters, 1998, vol. 8, no. 1, p. 18–20.
  28. ANDERSSON, F., MOSES, R., NATTERER, F. Fast Fourier methods for synthetic aperture radar imaging. IEEE Transactions on Aerospace and Electronic Systems, 2012, vol. 48, no. 1, p. 215 to 229.

Keywords: Arbitrary motion, equivalent scatterer, raw signal generation, synthetic aperture radar, subaperture processing.

Y.P. Zhu, Y.S. Wei, Y.J. Li [references] [full-text] [Download Citations]
First Order Sea Clutter Cross Section for HF Hybrid Sky-Surface Wave Radar

This paper presents a modified method to simulate the first order sea clutter cross section for high frequency (HF) hybrid sky-surface wave radar, based on the existent model applied in the bistatic HF surface wave radar. The modification focuses on the derivation of Bragg scattering frequency and the ionosphere dispersive impact on the clutter resolution cell. Meanwhile, an analytic expression to calculate the dispersive transfer function is derived on condition that the ionosphere is spherical stratified. Simulation results explicate the variance of the cross section after taking account of the influence triggered by the actual clutter resolution cell, and the spectral width of the first order sea clutter is defined so as to compare the difference. Eventually, experiment results are present to verify the rationality and validity of the proposed method.

  1. MELYANOVSKI, P. A., TOURGENEV, I. S. Bistatic HF radar for oceanography applications with the use of both ground and space waves. Telecommunications and Radio Engineering, 1997, vol. 51, no. 2-3, p. 73–79.
  2. ZHAO, Z.X., WAN, X.R., ZHANG, D.L., CHENG, F. An experimental study of HF passive bistatic radar via hybrid skysurface wave mode. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 1, p. 415–424.
  3. JIANG, W., DENG, W.B., YANG, Q. Analyses of sea clutter for HF over the horizon hybrid sky-surface wave radar. Journal of Electronics & Information Technology, 2011, vol. 33, no. 8, p. 1786–1791.
  4. LI, Y.J., WEI, Y.S. Analysis of first-order sea clutter spectrum characteristics for HF sky-surface wave radar. In 2013 International Conference on Radar. Australia, 2013, p. 368–373.
  5. ANDRIĆ, M., BUJAKOVIĆ, D., BONDZULIĆ, B., SIMIĆ, S., ZRNIĆ, B. Analysis of radar Doppler signature from human data. Radioengineering, 2014, vol. 23, no. 1, p. 11–19.
  6. LI, Y.J., WEI, Y.S., ZHANG, C., SHANG, C., TANG, X.D. Influence of ionosphere on SCR of HF hybrid sky-surface wave radar. In IET International Radar Conference. Xi'an (China), 2013, p. 1–6.
  7. LI, Y.J., WEI, Y.S., XU, R.Q., CHU, T.Q., WANG, Z.Q. Spacetime characteristics and experimental analysis of broadening firstorder sea clutter in HF hybrid sky-surface wave radar. Radioengineering, 2014, vol. 23, no. 3, p. 831–841.
  8. RIDDOLLS, R. J. Ship detection performance of a high frequency hybrid sky-surface wave radar. Defense R&D Canada-Ottawa, 2007, p. 1-42.
  9. ZHU, Y.P., SHANG, C., LI, Y.J., A FBLP based method for suppressing sea clutter in HFSWR. In International Symposium on Antennas and Propagation. Nanjing (China), 2013, p. 1090–1093.
  10. HOU, C.Y., KE, G., SHI, T.G., WANG, Y.X. Study on the detectability of the sky-surface wave hybrid radar. Journal of Applied Mathematics, 2014, p. 1-10.
  11. BARRICK, D. E. First-order theory and analysis of MF/HF/VHF scatter form the sea. IEEE Transactions on Antennas and Propagation, 1972, vol. 20, no. 1, p. 2–10.
  12. WALSH, J., DAWE, B. J. Development of a model for the first order bistatic ocean clutter radar cross section for ground wave radars. Northern Radar Systems Limited contract report for the Defense Research Establishment Ottawa, 1994, p. 1-74.
  13. BARTON, D. Modern Radar Systems Analysis. Norwood: Artech House, 1987.
  14. LUNDBORG, B. Pulse propagation through a plane stratified ionosphere. Journal of Atmospheric and Terrestrial Physics, 1990, vol. 52, no. 9, p. 759–770.
  15. KRETOV, N. V., RYSHKINA, T. Y., FEDOROVA, L. V. Dispersive distortions of transionospheric broadband VHF signals. Radio Science, 1992, vol. 27, no. 4, p. 491–495.
  16. RIDDOLLS, R. J. Limits on the detection of low-Doppler targets by a high frequency hybrid sky surface wave radar system. In 2008 IEEE Radar Conference, Rome (Italy), 2008, p. 1–4.
  17. RIDDOLLS, R. J. Detection of aircraft by high frequency sky wave radar under auroral clutter-limited conditions. Defense R&D Canada-Ottawa, 2008, p. 1–38.
  18. LI, Y.J., WEI, Y.S., XU, R.Q. Influence of ionosphere on resolution cell of HF hybrid sky-surface wave radar. In Antennas and Propagation Society International Symposium (APSURSI). Orlando (USA), 2013, p. 1028–1029.
  19. GILL, E. The scattering of high frequency electromagnetic radiation from the ocean surface: An analysis based on a bistatic ground wave radar configuration. PhD Thesis. Faculty of Engineering and Applied Science, Memorial University of Newfoundland, 1999.
  20. LI, Y. J., WEI, Y.S., XU, R.Q., SHANG, C. Simulation analysis and experimentation study on sea clutter spectrum for highfrequency hybrid sky-surface wave propagation mode. IET Radar Sonar and Navigation, 2014, p. 1-14.(in press, doi: 10.1049/ietrsn.2013.0289)
  21. CROFT, T., HOOGASIAN, H. Exact ray calculations in a quasiparabolic ionosphere with no magnetic field. Radio Science, 1968, vol. 3, no. 1, p. 69.

Keywords: Clutter resolution cell, ionosphere dispersion, first order sea clutter cross section, HF hybrid sky-surface wave radar.

M. Barbary, Peng Zong [references] [full-text] [Download Citations]
A Novel Stealthy Target Detection Based on Stratospheric Balloon-borne Positional Instability due to Random Wind

A novel detection for stealthy target model F-117A with a higher aspect vision is introduced by using Stratospheric Balloon-borne Bistatic system. The potential problem of proposed scheme is platform instability impacted on the balloon by external wind force. The flight control system is studied in detail under typical random process, which is defined by Dryden turbulence spectrum. To accurately detect the stealthy target model, a real Radar Cross Section (RCS) based on physical optics (PO) formulation is applied. The sensitivity of the proposed scheme has been improved due to increasing PO – scattering field of stealthy model with higher aspect angle comparing to the conventional ground -based system. Simulations demonstrate that the proposed scheme gives much higher location accuracy and reduces location errors.

  1. CHEN, X., GUAN, J., LIU, N., HE, Y. Maneuvering target detection via Radon-Fractional Fourier transform-based long time coherent integration. IEEE Trans. Signal Process, 2014, vol. 62, no. 4, p. 939–953.
  2. TENG, Y., GRIFFITHS, H.D., BAKER, C.J., WOODBRIDGE, K. Netted radar sensitivity and ambiguity. IET Radar Sonar Navig., December 2007, vol. 1, no. 6, p. 479–486.
  3. KUSCHEL, H., HECKENBACH, J., MULIER, ST., APPEL, R. Countering stealth with passive, multi-static, low frequency radars. IEEE Aerospace and Electronic Systems Magazine, 2010, vol.25, no. 9, p. 11–17.
  4. KUSCHEL, H., HECKENBACH, J., MULIER, ST., APPEL, R. On the potentials of passive, multistatic, low frequency radars to counter stealth and detect low flying targets. In IEEE Conference, RADAR '08, 2008, p. 1–6.
  5. HOWE, D. Introduction to the basic technology of stealthy aircraft: Part 2- Illumination by the enemy (active considerations). Journal of Engineering for Gas Turbines and Power, 1991, vol. 113, no. 1, p. 80–86.
  6. EL-KAMCHOUCHY, H., SAADA, K., HAFEZ, A. Optimum stealthy aircraft detection using a multistatic radar. ICACT Transactions on Advanced Communications Technology (ICACTTACT), 2013, vol. 6, no. 2, p. 337–342.
  7. DENG, H. Orthogonal netted radar systems. IEEE Aerospace and Electronic Systems Magazine, 2012, vol. 27, no. 5, p. 28–35.
  8. BEZOUSEK, P., SCHEJBAL, V. Bistatic and multistatic radar systems. Radioengineering, 2008, vol. 17, no. 3, p. 53–59.
  9. AXIOTIS, D. I., THEOLOGOU, M. E., SYKAS, E. D. The effect of platform instability on the system level performance of HAPS UMTS. IEEE Communications Letters, 2004, vol. 8, no. 2, p. 111 to 113.
  10. BEAL, T. R. Digital simulation of atmospheric turbulence for Dryden and von Karman models. Journal of Guidance, Control, and Dynamics, 1993, vol. 16, no. 1, p. 132–138.
  11. FORTUNATI, S., FARINA, A., GINI, F., GRAZIANO, A., GRECO, M. S., GIOMPAPA, S. Impact of flight disturbances on airborne radar tracking. IEEE Transactions on Aerospace and Electronic Systems, 2012, vol. 48, no. 3, p. 2698 –2710.
  12. HOGGE, E. B-737 linear autoland simulink model. NASA, Technical Report NASA/CR-2004-213021, 2004.
  13. MIELE, A., WANG, T., MELVIN, W. W. Optimal take-off trajectories in the presence of wind shear. Journal of Optimization Theory and Applications, 1986, vol. 49, no. 1, p. 1–45.
  14. FELDMAN, M. A. Efficient low-speed flight in a wind field. Master Thesis. Blacksburg, VA, Virginia Polytechnic Inst. and State Univ., July 1996.
  15. LEE, S., BANG, H. Three-dimensional ascent trajectory optimization for stratospheric airship platforms in the jet stream. Journal of Guidance, Control, and Dynamics, 2007, vol. 30, no. 5, p. 1341 to 1352.
  16. UPENDRA, A., BALAKRISHNAN, J. A novel method for RCS reduction of a complex shaped aircraft using partial RAM coating. International Journal of Engineering and Innovative Technology (IJEIT), 2012, vol. 2, no. 2, p. 52–56.
  17. LI, J., WANG, X., QU, L. Calculation of physical optics integrals over NURBS surface using a delaminating quadrature method. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 5, p. 2388– 2397.
  18. MOREIRA, F. J. S., PRATA, A. JR. A self-checking predictorcorrector algorithm for efficient evaluation of reflector antenna radiation integrals. IEEE Transactions on Antennas and Propagation, 1994, vol. 42, no. 2, p. 246–254.
  19. CORUCCI, L., GIUSTI, E., MARTORELLA, M., BERIZZI, F. Near field physical optics modelling for concealed weapon detection. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 12, p. 6052–6057.
  20. CORBEL, C., BOURLIER, C., PINEL, N., CHAUVEAU, J. Rough surface RCS measurements and simulations using the physical optics approximation. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 10, p. 5155–5165.
  21. CURRY, G. R. Radar System Performance Modeling. Second Edition, 2005.
  22. WEI, W., HE, L. The location method and accuracy analysis for bistatic systems. In National Aerospace and Electronics Conference (NAECON). Dayton (OH, USA), 1994, p. 62–65.
  23. ZHAO KONGRUI, YU CHANGJUN, ZHOU GONGJIAN, QUAN TAIFAN. Altitude and RCS estimation with echo amplitude in bistatic high frequency surface wave radar. In 16th International Conference on Information Fusion. Istanbul (Turkey), 2013, p. 1342–1347.

Keywords: Stealthy RCS, bistaic balloon-borne radar, PO method

B. Szymanik [references] [full-text] [Download Citations]
Inverse Problem Solution in Landmines Detection Based on Active Thermography

Landmines still affect numerous territories in the whole world and pose a serious threat, mostly to civilians. Widely used non-metallic landmines are undetectable using metal detector. Therefore, there is an urging need to improve methods of detecting such objects. In the present study we introduce relatively new method of landmines' detection: active infrared thermography with microwave excitation. In this paper we present the optimization based method of solving inverse problem for microwave heating. This technique will be used in the reconstruction of detected landmines geometric and material properties.

  1. MACDONALD, J., LOCKWOOD, J. R. Alternatives for Landmine Detection. RAND, 2003.
  2. MENDE, H., DEJ, B., KHANNA, S., APPS, R., BOYLE, M., ADDISON, F. Microwave enhanced IR detection of landmines using 915 MHz and 2450 MHz. Defence Research Reports, no. DRDC-OTTAWA-TM-2004-266. Ottawa (Canada): Defence R&D, 2004.
  3. MALADEGUE, X. Theory and Practice of Infrared Technology for Nondestructive Testing. New York (USA): John Wiley and Sons, 2001.
  4. THANH, N. T., SAHLI, H., HAO, D. N. Infrared thermography for buried landmine detection: Inverse problem setting. IEEE Transactions on Geoscience and Remote Sensing, 2008, vol. 46, p. 3987–4004.
  5. SZYMANIK, B., GRATKOWSKI, S. Numerical modelling of microwave heating in landmines detection. International Journal of Applied Electromagnetics and Mechanics, 2011, vol. 37, no. 2-3, p. 215–229.
  6. SZYMANIK, B. Objects’ parameters reconstruction in landmines’ detection based on active thermography. In International Interdisciplinary PhD Workshop IIPhDW 2013. Brno (Czech Republic), 8-11 September, 2013.
  7. GOLDBERG, D. E. Genetic Algorithms in Search, Optimization and Machine Learning. Addison-Wesley Publishing Company Inc. 1989.
  8. SZYMANIK, B. Zastosowanie aktywnej termografii podczerwonej ze wzbudzeniem mikrofalowym do wykrywania niemetalicznych min lądowych. (The use of active infrared thermography with microwave excitation for detection of non-metallic landmines.) PhD Thesis. West Pomeranian University of Technology, Poland, 2013 (in Polish).

Keywords: Microwave heating, landmines detection, active thermography, inverse problems

M. Galabov [references] [full-text] [Download Citations]
3D Capturing with Monoscopic Camera

This article presents a new concept of using the auto-focus function of the monoscopic camera sensor to estimate depth map information, which avoids not only using auxiliary equipment or human interaction, but also the introduced computational complexity of SfM or depth analysis. The system architecture that supports both stereo image and video data capturing, processing and display is discussed. A novel stereo image pair generation algorithm by using Z-buffer-based 3D surface recovery is proposed. Based on the depth map, we are able to calculate the disparity map (the distance in pixels between the image points in both views) for the image. The presented algorithm uses a single image with depth information (e.g. z-buffer) as an input and produces two images for left and right eye.

  1. GUAN-MING SU, YU-CHI LAI, KWASINSKI, A., HAOHONG WANG. 3D Visual Communications. John Wiley & Sons, 2013.
  2. JEBARA, T., AZARBAYEJANI, A., PENTLAND, A. 3D structure from 2D motion. IEEE Signal Processing Magazine, May 1999, vol. 16, no. 3, p. 66–83.
  3. XU, S. B. Qualitative depth from monoscopic cues. In Proc. of Int. Conf. on Image Processing and its Applications, Maastricht (The Netherlands), 1992, p. 437–440.
  4. WEERASINGHE, C., OGUNBONA, P., LI, W. 2D to pseudo-3D conversion of head and shoulder images using feature based parametric disparity maps. In Proc. International Conference on Image Processing. Thessaloniki (Greece), 2001, p. 963–966.
  5. BATTIATO, S., CURTI, S., CASCIA, M. L., TORTORA, M., SCORDATO, E. Depth map generation by image classification. Proc. SPIE, April 2004, vol. 5302, p. 95–104.
  6. CHOI, C., KWON, B., CHOI, M. A real-time field-sequential stereoscopic image converter. IEEE Trans. Consumer Electronics, August 2004, vol. 50, no. 3, p. 903–910.
  7. SETHURAMAN, S., SIEGEL, M. W. The video Z-buffer: a concept for facilitating monoscopic image compression by exploiting the 3D stereoscopic depth map. In Proc. SMPTE International Workshop on HDTV'96. Los Angeles (USA), 1996, p. 8–9.
  8. KIM, K., SIEGEL, M., SON, J. Y. Synthesis of a high-resolution 3D-stereoscopic image pair from a high-resolution monoscopic image and a low-resolution depth map. In Proc. SPIE/IS&T Conference, January 1998, vol. 3295A, p. 76–86.
  9. WANG, H., LI, H., MANJUNATH, S. Real-time capturing and generating stereo images and videos with a monoscopic low power mobile device. US Patent, 2012.
  10. KAMENCAY, P., BREZNAN, M., JARINA, R, LUKAC, P., ZACHARIASOVA, M. Improved depth map estimation from stereo images based on hybrid method. Radioengineering, 2012, vol. 21, no. 1, p. 70-78.
  11. KALLER, O., BOLECEK, L., KRATOCHVIL, T. Profilometry scaning for correction of 3D images depth map estimation. In Proceedings of the 53rd International Symposium ELMAR- 2011. Zadar (Croatia), 2011, p. 119-122. ISBN: 978-953-7044-12- 1.
  12. CURTI, S., SIRTORI, D., VELLA, F. 3D effect generation from monocular view. In Proc. First International Symp. on 3D Data Processing Visualization and Transmission (3DPVT 2002). Padua (Italy), 2002, p. 550–553. DOI: 10.1109/TDPVT.2002.1024116.
  13. KOZANKIEWICZ, P. Fast algorithm for creating image-based stereo images. In Proc. 10th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision. Plzen (Czech Republic), 2002.
  14. BATTIATO, S., CAPRA, A., CURTI, S., CASCIA, M. L. 3D stereoscopic image pairs by depth-map generation. In Proc. 2nd International Symp. on 3D Data Processing, Visualization and Transmission. Thessaloniki (Greece), 2004, p. 124–131. DOI: 10.1109/TDPVT.2004.1335185.

Keywords: 3D content, multi-view camera, 3D capturing.

V. Banoci, M. Broda, G. Bugar, D. Levicky [references] [full-text] [Download Citations]
Universal Image Steganalytic Method

In the paper we introduce a new universal steganalytic method in JPEG file format that is detecting well-known and also newly developed steganographic methods. The steganalytic model is trained by MHF-DZ steganographic algorithm previously designed by the same authors. The calibration technique with the Feature Based Steganalysis (FBS) was employed in order to identify statistical changes caused by embedding a secret data into original image. The steganalyzer concept utilizes Support Vector Machine (SVM) classification for training a model that is later used by the same steganalyzer in order to identify between a clean (cover) and steganographic image. The aim of the paper was to analyze the variety in accuracy of detection results (ACR) while detecting testing steganographic algorithms as F5, Outguess, Model Based Steganography without deblocking, JP Hide&Seek which represent the generally used steganographic tools. The comparison of four feature vectors with different lengths FBS (22), FBS (66) FBS(274) and FBS(285) shows promising results of proposed universal steganalytic method comparing to binary methods.

  1. SHARP, T. An implementation of key-based digital signal steganography. In 4th International Workshop Information Hiding. Lecture Notes in Computer Science, 2001, vol. 2137, p. 13–26.
  2. JOHNSON, N. F., JAJODIA, S. Exploring steganography: Seeing the unseen. IEEE Computer, 1998, vol. 31, no. 2, p. 26–34.
  3. MARVEL, L., BONCELET, C., RETTER, C. Spread spectrum image steganography. IEEE Transactions on Image Processing, 1999, vol. 8, no. 8, p. 1075–1083.
  4. SARKAR, A., MANJUNATH, B. S. Estimating steganographic capacity for odd even based embedding and its use in individual compensation. In Proc. IEEE Int. Conf. on Image Processing (ICIP2007). San Antonio (TX, USA), 2007, p. 409–412.
  5. KATZENBEISSER, S., PETITCOLAS, F. A. P. Information Hiding Techniques for Steganography and Digital Watermarking. Artech House Books, 2000.
  6. KER, D. A. Batch steganography and the threshold game. In Proc. SPIE Conference Vol. 6505, Security, Steganography, and Watermarking of Multimedia Contents IX. 2007, p. 0401–0413. DOI: 10.1117/12.703334.
  7. MARWAHA, P., MARWAHA, P. Visual cryptographic steganography in images. In 2nd Internat. Conf. on Computing Communication and Networking Technologies. Karur (India), 2010, p. 1–6.
  8. WESTFELD, A. High capacity despite better steganalysis (F5 – a steganographic algorithm). In 4th Internat. Workshop Information Hiding. Lecture Notes in Computer Science. 2001, vol. 2137, p. 289–302.
  9. PROVOS, N. Defending against statistical steganalysis. In Proc. 10th USENIX Security Symposium. Washington (USA), 2001, p. 323–335.
  10. SALLEE, P. Model-based methods for steganography and steganalysis. International Journal of Image and Graphics, 2005, vol. 5, no. 1, p. 167–190.
  11. HOPPER, N. J., LANGFORD, J., VON AHN, L. Provably secure steganography. In YUNG, M. (ed.) Advances in Cryptology CRYPTO 2002. LNCS. 22nd Annual International Cryptology Conference. Heidelberg: Springer, 2002, vol. 2442, p. 77–92.
  12. AVCIBAS, I., KHARAZZI, M., MEMON, N., SANKUR, B. Image steganalysis with binary similarity measures. EURASIP J. Applied Signal Processing, 2005, no. 17, p. 2749–2757.
  13. LIN, J-Q., ZHONG, S-P. JPEG image steganalysis method based on binary similarity measures. In Proc. of the 8th Internat. Conf. on Machine Learning and Cybernetics. Baoding (China), 2009, p. 2238–2241.
  14. FARID, H., LYU, S. Higher-order wavelet statistics and their application to digital forensics. In IEEE Workshop on Statistical Analysis in Computer Vision (in conjunction with CVPR). Madison, 2003, p. 1-8.
  15. ZONG, H., LIU, F., LUO, X. Blind image steganalysis based on wavelet coefficient correlation. Digital Investigation, 2012, vol. 9, no. 1, p. 58–68.
  16. WESTFELD, A., PFITZMANN, A. Attacks on steganographic systems. In 3rd Internat. Workshop Information Hiding’99. Lecture Notes in Computer Science, 2000, vol. 1768, p. 61–76.
  17. PROVOS, N., HONEYMAN, P. Detecting steganographic content on the Internet. CITI Technical Report 01-11, 2001.
  18. FRIDRICH, J. Feature-based steganalysis for JPEG images and its implications for future design of steganographic schemes. In 6th Internat. Workshop on Information Hiding. Lecture Notes in Computer Science, 2005, vol. 3200, p 67–81.
  19. MAJERCAK, D., BANOCI, V., BRODA, M., BUGAR, G., LEVICKY, D. Performance evaluation of feature-based steganalysis in steganography. In 23rd International Conference Radioelektronika. Pardubice (Czech Republic), 2013, p. 377–382.
  20. BANOCI, V., BUGAR, G., LEVICKY, D., KLENOVICOVA, Z. Histogram secure steganography system in JPEG file based on modulus function. In 22nd International Conference Radioelektronika 2012. Brno (CR), 2012, p. 263–266.
  21. BOHME, R. Advanced Statistical Steganalysis. Dresden: Springer, 2009. ISBN 978-3-642-14312-0
  22. FRIDRICH, J., GOLJAN, M., HOGEA, D. Steganalysis of JPEG images: Breaking the F5 algorithm. In 5th Internat. Workshop Information Hiding. Lecture Notes in Computer Science, 2003, vol. 2578, p. 310–323.
  23. FARID, H., SIWEII, L. Detecting hidden messages using higherorder statistics and support vector machines. In 5th Internat.l Workshop Information Hiding. Lecture Notes in Computer Science, 2003, vol. 2578, p. 340–354.
  24. FRIDRICH, J., PEVNY, T. Merging Markov and DCT Feature for Multi-Class JPEG Steganalysis. In Proc. SPIE Conference Vol. 6505, Security, Steganography, and Watermarking of Multimedia Contents IX. 2007. DOI: 10.1117/12.696774
  25. CHANG, C-C, LIN, C-J. LIBSVM: A library for support vector machines. Software available at http://www.csie.ntu. edu.tw/cjlin/libsvm, 2001
  26. ASHU, CHHIKARA, R. Performance evaluation of first and second order features for steganalysis. International Journal of Computer Applications, April 2014, vol. 92, no. 16, p. 17–22.

Keywords: Steganography, universal steganalysis, message hiding, image processing, JPEG file format, statistical features

W. Zhao, Y. M. Wei, Y. H. Shen, Z. G. Yuan, P. C. Xu, W. Jian [references] [full-text] [Download Citations]
A Novel FastICA Method for the Reference-based Contrast Functions

This paper deals with the efficient optimization problem of Cumulant-based contrast criteria in the Blind Source Separation (BSS) framework, in which sources are retrieved by maximizing the Kurtosis contrast function. Combined with the recently proposed reference-based contrast schemes, a new fast fixed-point (FastICA) algorithm is proposed for the case of linear and instantaneous mixture. Due to its quadratic dependence on the number of searched parameters, the main advantage of this new method consists in the significant decrement of computational speed, which is particularly striking with large number of samples. The method is essentially similar to the classical algorithm based on the Kurtosis contrast function, but differs in the fact that the reference-based idea is utilized. The validity of this new method was demonstrated by simulations.

  1. LAHAT, D., CARDOSO, J. F., MESSER, H. Second-order multidimensional ICA: Performance analysis. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 9, p. 4598 - 4610.
  2. COMON, P., JUTTEN, C. (Eds.) Handbook of Blind Source Separation, Independent Component Analysis and Applications. New York, 2010.
  3. SIMON, C., LOUBATON, P., JUTTEN, C. Separation of a class of convolutive mixtures: A contrast function approach. Signal Processing, 2001, vol. 4, no. 81, p. 883 - 887.
  4. TUGNAIT, J. K. Identification and deconvolution of multichannel linear non-Gaussian processes using higher order statistics and inverse filter criteria. IEEE Transactions on Signal Processing, 1997, vol. 45, no. 3, p. 658 - 672.
  5. GODARD, D. N. Self-recovering equalization and carrier tracking in two-dimensional data communication systems. IEEE Transactions on Communications, 1980, vol. 28, no. 11, p. 1867 - 1875.
  6. CASTELLA, M., RHIOUI, S., MOREAU, E., PESQUET, J. C. Quadratic higher-order criteria for iterative blind separation of a MIMO convolutive mixture of sources. IEEE Transactions on Signal Processing, 2007, vol. 55, no. 1, p. 218 - 232.
  7. DUBROCA, R., DELUIGI, C., CASTELLA, M., MOREAU, E. A general algebraic algorithm for blind extraction of one source in a MIMO convolutive mixture. IEEE Transactions on Signal Processing, 2010, vol. 58, no. 5, p. 2484 - 2493.
  8. ADIB, A., MOREAU, E., ABOUTAJDINE, D. Source separation contrasts using a reference signal. IEEE Signal Processing Letters, 2004, vol. 11, no. 3, p. 312 - 315.
  9. CASTELLA, M., RHIOUI, S., MOREAU, E., PESQUET, J. C. Source separation by quadratic contrast functions: A blind approach based on any higher-order statistics. In Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing. Philadelphia (USA), 2005, vol. 3, p. III/569-III/572.
  10. KAWAMOTO, M., KOHNO, K., INOUYE, Y. Eigenvector algorithms incorporated with reference systems for solving blind deconvolution of MIMO-IIR linear systems. IEEE Signal Processing Letters, 2007, vol. 14, no. 12, p. 996 - 999.
  11. CASTELLA, M., MOREAU, E. New kurtosis optimization schemes for MISO equalization. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 3, p. 1319 - 1330.
  12. CASTELLA, M., MOREAU, E. A new optimization method for reference-based quadratic contrast functions in a deflation scenario. In Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing. Taipei (Taiwan), 2009, p. 3161 - 3164.
  13. CASTELLA, M., MOREAU, E. A new method for kurtosis maximization and source separation. In Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing. Dallas (TX, USA), 2010, p. 2670 - 2673.
  14. ZHAO, W., YUE, H. S., WANG, J. G., YUAN, Z. G., JIAN, W. New methods for the efficient optimization of cumulant-based contrast functions. In Proceedings of the 5th IET International Conference on Wireless, Mobile and Multimedia Networks. Beijing (China), 2013, p. 345 - 349.
  15. ZHAO, W., YUE, H. S., WANG, J. G., YUAN, Z. G., JIAN, W. New kurtosis optimization algorithms for independent component analysis. In Proceedings of the 4th IEEE International Conference on Information Science and Technology. Shenzhen (China), 2014, p. 23 - 28.
  16. ZHAO, W., YUE, H. S., XU, P. C., WANG, J. G., YUAN, Z. G., WEI, Y. M., JIAN, W., LI, H. A new efficient reference-based negentropic algorithm for instantaneous ICA. In Proceedings of the 3th International Conference on Convergence and its Application. Seoul (Korea), 2014, p. 3 - 4.
  17. ZANGWILL, W. I. Nonlinear Programming: A Unified Approach. Englewood Cliffs (NJ, USA): Prentice-Hall, 1969.

Keywords: Blind source separation, FastICA, reference-based contrast functions, kurtosis contrast function

S. H. Alvi, S. Wyne [references] [full-text] [Download Citations]
On Amplify-and-Forward Relaying Over Hyper-Rayleigh Fading Channels

Relayed transmission holds promise for the next generation of wireless communication systems due to the performance gains it can provide over non-cooperative systems. Recently hyper-Rayleigh fading, which represents fading conditions more severe than Rayleigh fading, has received attention in the context of many practical communication scenarios. Though power allocation for Amplify-and-Forward (AF) relaying networks has been studied in the literature, a theoretical analysis of the power allocation problem for hyper-Rayleigh fading channels is a novel contribution of this work. We develop an optimal power allocation (OPA) strategy for a dual-hop AF relaying network in which the relay-destination link experiences hyper-Rayleigh fading. A new closed-form expression for the average signal-to-noise ratio (SNR) at destination is derived and it is shown to provide a new upper-bound on the average SNR at destination, which outperforms a previously proposed upper-bound based on the well-known harmonic-geometric mean inequality. An OPA across the source and relay nodes, subject to a sum-power constraint, is proposed and it is shown to provide measurable performance gains in average SNR and SNR outage at the destination relative to the case of equal power allocation.

  1. LANEMAN, J. N., TSE, D. N. C., WORNELL, G. W. Cooperative diversity in wireless networks: Efficient protocols and outage behaviour. IEEE Transactions on Information Theory, 2004, vol. 50, no. 12, p. 3062 - 3080.
  2. PABST, R., WALKE, B. H. et al. Relay-based deployment concepts for wireless and mobile broadband radio. IEEE Communications Magazine, 2004, vol. 42, no. 9, p. 80 - 89.
  3. NABAR, R. U., BOLCSKEI, H., KNEUB ¨ UHLER, F. W. Fading re- ¨ lay channels: performance limits and space-time signal design. IEEE Journal on Selected Areas in Communications, 2004, vol. 22, no. 6, p. 1099 - 1109.
  4. LIU, K. J. R., SADEK, A. K., SU, W., KWASINSKI, A. Cooperative Communications and Networking. Cambridge (UK): Cambridge University Press, 2009.
  5. HASNA, M. O., ALOUINI, M.-S. A performance study of dual-hop transmissions with fixed gain relays. IEEE Transactions on Wireless Communications, 2004, vol. 3, no. 6, p. 1963 - 1968.
  6. FAN, Z., GUO, D., ZHANG, B. Outage probability and power allocation for two-way DF relay networks with relay selection. Radioengineering, 2012, vol. 21, no. 3, p. 795 - 801.
  7. POLAK, L., KRATOCHVIL, T. DVB-T and DVB-T2 performance in fixed terrestrial TV channels. In Proceedings of International Conference on Telecommunications and Signal Processing (TSP). Prague (Czech Republic), 2012, p. 725 - 729.
  8. TANEDA, M. A., TAKADA, J., ARAKI, K. The problem of the fading model selection. IEICE Transactions on Communications, 2001, vol. E84-B, no. 3, p. 355 - 358.
  9. KIM, D., INGRAM, M. A., SMITH, W. W. Jr. Measurements of small-scale fading and path loss for long range RF tags. IEEE Transactions on Antennas and Propagation, 2003, vol. 51, no. 8, p. 1740 - 1749.
  10. FROLIK, J. On appropriate models for hyper-Rayleigh fading. IEEE Transactions on Wireless Communications, 2008, vol. 7, no. 12, p. 5202 - 5207.
  11. SEN, I., MATOLAK, D. W. Vehicle-vehicle channel models for the 5-GHz band. IEEE Transactions on Intelligent Transportation Systems, 2008, vol. 9, no. 2, p. 235 - 245.
  12. TABATABA, F. S., SADEGHI, P., PAKRAVAN, M. R. Outage probability and power allocation of amplify and forward relaying with channel estimation errors. IEEE Transactions on Wireless Communications, 2011, vol. 10, no. 1, p. 124 - 134.
  13. ZHANG, Y., MA, Y., TAFAZOLLI, R. Power allocation for bidirectional AF relaying over Rayleigh fading channels. IEEE Communications Letters, 2010, vol. 14, no. 2, p. 145 - 147.
  14. GRADSHTEYN, I. S., RYZHIK, I. M. Table of Integrals, Series and Products. 7th ed. Burlington (MA, USA): Academic Press, 2007.
  15. HASNA, M. O., ALOUINI, M.-S. Outage probability of multihop transmision over Nakagami fading channels. IEEE Communications Letters, 2003, vol. 7, no. 5, p. 216 - 218.
  16. KARAGIANNIDIS, G. K., ZOGAS, D. A., SAGIAS, N. C., TSIFTSIS, T. A., MATHIOPOULOS, P. T. Multihop communications with fixed-gain relays over generalized fading channels. In Proceedings of IEEE Global Telecommunications Conference (GLOBECOM’04). Dallas (TX, USA), 2004, p. 36 - 40.
  17. KARAGIANNIDIS, G. K., TSIFTSIS, T. A., MALLIK, R. K. Bounds for multihop relayed communications in Nakagami-m fading. IEEE Transactions on Communications, 2006, vol. 54, no. 1, p. 18 - 22.
  18. ZHAI, C., LIU, J., ZHENG, L., CHEN, H. New power allocation schemes for AF cooperative communication over Nakagami-m fading channels. In Proceedings of International Conference on Wireless Communications and Signal Processing (WCSP’09). Nanjing (China), 2009, p. 1 - 5.
  19. DENG, X., HAIMOVICH, A. M. Power allocation for cooperative relaying in wireless networks. IEEE Communications Letters, 2005, vol. 9, no. 11, p. 994 - 996.
  20. MOLISCH, A. F. Wireless Communications. 2nd ed. Chichester (UK): Wiley-IEEE Press, 2011.
  21. SIMON, M. K., ALOUINI, M.-S. Digital Communications over Fading Channels. 2nd ed. New York (USA): Wiley, 2005.
  22. GOLDSMITH, A. Wireless Communications. Cambridge (UK): Cambridge University Press, 2005.
  23. CAO, L., BEAULIEU, N. C. Simple efficient methods for generating independent and bivariate Nakagami-m fading envelope samples. IEEE Transactions on Vehicular Technology, 2007, vol. 56, no. 4, p. 1573-1579.
  24. XIA, M., XING, C., WU, Y.-C., AISSA, S. Exact performance analysis of dual-hop semi-blind AF relaying over arbitrary Nakagami-m fading channels. IEEE Transactions on Wireless Communications, 2011, vol. 10, no. 10, p. 3449 - 3459.
  25. LAFORGIA, A., NATALINI, P. On some inequalities for the Gamma function. Advances in Dynamical Systems and Applications, 2013, vol. 8, no. 2, p. 261 - 267.

Keywords: Relayed communications, hyper-Rayleigh fading, amplify-and-forward, power-allocation

M. Lin, H.Wei, J. Ouyang, K. An, C. Yuan [references] [full-text] [Download Citations]
Performance Analysis of a Dual-Hop Cooperative Relay Network with Co-Channel Interference

This paper analyzes the performance of a dual-hop amplify-and-forward (AF) cooperative relay network in the presence of direct link between the source and destination and multiple co-channel interferences (CCIs) at the relay. Specifically, we derive the new analytical expressions for the moment generating function (MGF) of the output signal-to-interference-plus-noise ratio (SINR) and the average symbol error rate (ASER) of the relay network. Computer simulations are given to confirm the validity of the analytical results and show the effects of direct link and interference on the considered AF relay network.

  1. KAKITANI, M., BRANTE, G., SOUZA, R. Energy efficiency analysis of a two-dimensional cooperative wireless sensor network with relay selection. Radioengineering, 2013, vol. 22, no. 2, p. 549 to 557.
  2. FAN, Z. J., GUO, D. X., ZHANG, B. N. Outage probability and power allocation for two-way DF relay networks with relay selection. Radioengineering, 2012, vol. 21, no. 3, p. 795–801.
  3. LI, M., LIN, M., YU, Q., ZHU, W.-P., DONG, L. Optimal beamformer design for two hop MIMO AF relay networks over Rayleigh fading channels. IEEE Journal on Selected Areas in Communications, 2012, vol. 30, no. 8, p. 1402–1414.
  4. OUYANG, J., LIN, M., ZHUANG, Y. Performance analysis of cooperative relay networks over asymmetric fading channels. Electronics Letters, 2012, vol. 48, no. 21, p.1370–1371.
  5. LI, M., AN, K., OUYANG, J., WEI, H., et al. Effect of feedback delay on dual-hop fixed gain relay networks over mixed fading channels. Transactions on Emerging Telecommunications Technologies, 2014, vol. 25, no. 10, p. 1045–1055.
  6. SURAWEERA, H. A., HARI, K. G., NALLANATHAN, A. Performance analysis of two hop amplify-and-forward systems with interference at the relay. IEEE Communications Letters, 2010, vol. 14, no. 8, p. 692–694.
  7. TRIGUI, I., AFFES, S., STEPHENNE, A. On the ergodic capacity of amplify-and-forward relay channels with interference in Nakagami-m fading. IEEE Transactions on Communications, 2013, vol. 61, no. 8, p. 3136–3145.
  8. AN, K., LIN, M., OUYANG, J., WEI, H. Beamforming in dualhop AF relaying with imperfect CSI and co-channel interference. Wireless Personal Communication, 2014, vol. 78, no. 2, p. 1187 to 1197.
  9. PHAN, H., DUONG, T. Q., ELKASHLAN, M., ZEPERNICK, H.- J. Beamforming amplify-and-forward relay networks with feedback delay and interference. IEEE Signal Processing Letters, 2012, vol. 19, no. 1, p. 16–19.
  10. AN, K., LIN, M., OUYANG, J., WEI, H. Performance analysis of beamforming in two-hop AF relay networks with antenna correlation and interference. AEU-International Journal of Electronics and Communications, 2014, vol. 68, no. 7, p. 587–594.
  11. DING, H., HE, C., JIANG, L.-G. Performance analysis of fixed gain MIMO relay systems in presence of co-channel interference. IEEE Communications Letters, 2012, vol. 16, no. 7, p. 1133–1136.
  12. CUI, X. W., FENG, Z. M. Lower capacity bound for MIMO correlated fading channels with keyhole. IEEE Communications Letters, 2004, vol. 8, p. 500–502.
  13. GRADSHTEYN, I. S., RYZHIK, I. M. Table of Integrals, Series, and Products. 7th ed. Academic Press, 2007.
  14. PRUDNIKOV, A. P., BRYCHKOV, Y. A., MARICHEV, O. I. Integrals and Series. Vol. 1, 1st ed. New York (USA): Gordon and Breach Science Publishers, 1986.
  15. SIMON, M. K., ALOUINI, M. S. Digital Communication over Fading Channels. 2nd ed. Wiley, 2005.
  16. MCKAY, M. R., ZANELLA, A., COLLINGS, I. B., et al. Error probability and SINR analysis of optimum combining in Rician fading. IEEE Transactions on Communications, 2009, vol. 57, no. 3, p. 676–687.

Keywords: Amplify-and-forward, cooperative relay network, co-channel interference, performance analysis.

R. Bortel, P. Sovka [references] [full-text] [Download Citations]
Invariance of the Null Distribution of the Multiple Coherence

In this paper we investigate the invariance of the null distribution of the multiple coherence (MC) to the statistics of the examined signals. We show that when the MC is computed between a group of signals x_i[n], i=1,...,K and a signal y[n], the null distribution of the MC is independent of the distribution of x_i[n] and y[n] if at a given frequency the joint distribution of the spectra of the segments of x_i[n] and y[n] is rotationally symmetric with respect to the rotation of the spectra of the segments of x_i[n] or y[n]. The significance of this result lies in the improvement of the multiple coherence analysis. Hitherto, the null distribution of the MC was known only for signals with the multivariate Gaussian distribution; therefore, an MC estimate could be evaluated for its statistical significance only in this limited case. With the results presented in this paper, it will be possible to evaluate the statistical significance of MC estimates for much wider class of signals.

  1. BLUMENSON, L. E. A derivation of n-dimensional spherical coordinates. The Americal Mathematical Montly, 1960, vol. 67, no. 1, p. 63 - 66.
  2. BRILLINGER, D. R. Time Series: Data Analysis and Theory. Society for Industrial and Applied Mathematics, 2001.
  3. FELIX, L. B., MIRANDA DE SA, A. M. F. L., INFANTOSI, A. ´ F. C., YEHIA, H. C. Multivariate objective response detectors (MORD): statistical tools for multichanel EEG analysis during rhytmic stimulation. Annals of Biomedical Engineering, 2007, vol. 35, no. 3, p. 443 - 452.
  4. GISH, H., COCHRAN, D. Invariance of the magnitude-squared cohrence estimate with respect to second-channel statistics. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1987, vol. 35, no. 12, p. 1774 - 1776.
  5. GOODMAN, N. R. Statistical analysis based on a certain multivariate complex Gaussian distribution (an introduction). Annals of Mathematical Statistics, 1963, vol. 34, no. 1, p. 152 - 177.
  6. JAMES, A. T. Distributions of matrix variates and latent roots derived from normal samples. Annals of Mathematical Statistics, 1964, vol. 35, no. 2, p. 475 - 501.
  7. KHARTI, C. G. Classical statistical analysis based on a certain multivariate complex Gaussian distribution. Annals of Mathematical Statistics, 1965, vol. 36, no. 1, p. 98 - 114.
  8. MIRANDA DE SA, A. M. F. L., FELIX, L. B., INFANTOSI, A. F. C. ´ A matrix-based algorithm for estimating multiple coherence of a periodic signal and its application to the multichannel EEG during sensory stimulation. IEEE Transactions on Biomedical Engineering, 2004, vol. 51, no. 7, p. 1140 - 1146.
  9. MIRANDA DE SA, A. M. F. L., INFANTOSI, A. F. C., MELGES, ´ D. B. A multiple coherence-based detector for evoked responses in the EEG during sensory stimulation. In 30th Annual International IEEE EMBS Conference. Vancouver (British Columbia, Canada), 2008, p. 3516 - 3519.
  10. MOSKALSKI, S., TORRES, R. Influences of tides, weather, and discharge on suspended sediment concentration. Continental Shelf Research, 2012, vol. 37, p. 36 - 45.
  11. NEDUNGADI, A. G., DING, M., RANGARAJAN, G. Block coherence: a method for measuring the interdependence between two block of neurobiological time series. Biological Cybernetics, 2011, vol. 104, no. 3, p. 197 - 207.
  12. NUTTALL, A. H. Invariance of distribution of coherence estimate to second-channel statistics. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1981, vol. 29, no. 1, p. 120 - 122.
  13. PANERAI, R. B., EAMES, P. J., POTTER, J. F. Multiple coherence of cerebral blood flow velocity in humans. American Journal of Physiology - Heart and Circulatory Physiology, 2006, vol. 291, no. 1, p. H251 - H259.
  14. PENG, T., ROWLEY, A. B., AINSLIE, P. N., POULIN, M. J., PAYNE, S. J. Multivariate system identification for cerebral autoregulation. Annals of Biomedical Engineering, 2008, vol. 36, no. 2, p. 308 - 320.
  15. RICHARDS, T. C. Dynamic testing of A/D converters using the multiple coherence function. IEEE Transactions on Instrumentation and Measurement, 2008, vol. 57, no. 11, p. 2596 - 2607.
  16. RICHARDS, T. C. Dynamic testing of data acquisition channels using the multiple coherence function. KARAKEHAYOV, Z. (Ed.) Data Acquisition Applications. Intech, 2012, p. 51 - 78.
  17. SALVADOR, R. A simple view of the brain through a frequencyspecific functional connectivity measure. NeuroImage, 2008, vol. 39, no. 1, p. 279 - 289.
  18. SALVADOR, R., ANGUERA, M., GOMAR, J. J., BULLMORE, E. T., POMAROL-CLOTET, E. Conditional mutual information maps as descriptors of net connectivity levels in the brain. Frontiers in Neuroinformatics, 2010, vol. 4, no. 115.
  19. TRUEBLOOD, R. D., ALSPACH, D. L. Multiple coherence. In 11th Asilomar Conference on Circuits, Systems and Computers. Pacific Grove (CA, USA), 1977, p. 327 - 333.
  20. TRUEBLOOD, R. D., ALSPACH, D. L. Multiple coherence as a detection statistic. NOSC Technical Report 265. San Diego: Naval Ocean Systems Center, 1978.

Keywords: Multiple coherence, null distribution, invariance